社区首页
博客
论坛
下载
文库
评测
芯语
研讨会
商城
EE直播间
芯视频
E聘
更多
社区
论坛
博客
下载
评测中心
面包芯语
问答
E币商城
社区活动
资讯
电子工程专辑
国际电子商情
电子技术设计
CEO专栏
eeTV
EE|Times全球联播
资源
EE直播间
在线研讨会
视频
白皮书
小测验
供应商资源
ASPENCORE Studio
活动
IIC Shanghai 2023
2023(第四届)国际 AIoT 生态发展大会
全球 MCU 生态发展大会
第四届临港半导体产业高峰论坛暨司南科技奖颁奖盛典
IIC Shenzhen 2023
第四届中国国际汽车电子高峰论坛
更多活动预告
杂志与服务
免费订阅杂志
电子工程专辑电子杂志
电子技术设计电子杂志
国际电子商情电子杂志
帖子
帖子
博文
电子工程专辑
电子技术设计
国际电子商情
资料
白皮书
研讨会
芯语
文库
×
提示!
您尚未开通专栏,立即申请专栏入驻
帖子
博文
用户
芯语
首页
专栏作家
CEO专栏
论坛
博客
E币商城
资讯
电子工程专辑
国际电子商情
电子技术设计
仿真器
STEPBYSTEP设计一个RISC-V仿真器之二:OpenOCD适配新的设备驱动
一. 前言 前面我们分享了openocd的构建过程,以及使用gdb进行仿真调试,为开发做好了准备。现在我们就来分享如何为openocd适配新的驱动,即适配新的仿真器硬件。二. 适配新的驱动过程假设我们要添加一个我们自己的xxlink硬件驱动。2.1.修改配置configure.ac修改configure.ac先添加适配器类型,在m4_define([USB1_ADAPTERS],下添加一行[[xx
嵌入式Lee
2024-12-04
50浏览
调制信号+射频链路的仿真,雷达的仿真,记得试试Envelope仿真器
动动手指,关注公众号并加星标哦(1) 为啥要用Envelope仿真器在仿真调制信号+射频链路时,载波频率很高,但是调制信号的符号率,相对于载波频率来说,又很小。雷达仿真也是类似的情况,比如多普勒雷达,载波频率和多普勒频率也是相差非常大。如果使用transient仿真器的话,为了仿真出载波,就得设置小的step,但是为了能仿真出调制信号,又得设置足够大的stop,两头一来,耗时就特别长。就算让电脑跑
加油射频工程师
2024-06-14
599浏览
STEPBYSTEP设计一个RISC-V仿真器之一:OpenOCD开发环境搭建
一. 前言这是我们STEP BY STEP开发一个RISC-V仿真器的第一篇。常见的RISC-V仿真器很多都是基于支持JTAG接口的接口芯片比如FTDI的芯片加上开源OpenOCD实现的。但是接口芯片可能不能满足一些灵活的需求,所以我们可以基于通用MCU去替代类似FTDI的接口芯片,即基于MCU去实现硬件适配器,上位机还是基于OpenOCD。所以我们实际就是实现一个类似xx-LINK的硬件。主要有
嵌入式Lee
2024-05-13
692浏览
RL78/G16快速原型开发板FPB与EZ-Cube3仿真器连接演示
RL78G16快速原型开发板与EZ-CUBE3仿真器连接演示主要内容● 快速原型开发板● EZ-CUBE3仿真器● 快速原型开发板如何连接EZ-CUBE3仿真器● 创建LED闪烁演示工程开发环境● e2 studio:2023 - 04● 仿真器:EZ-CUBE3● 开发板:RL78/G16快速原型开发板RL78G16快速原型开发板EZ-CUBE3仿真器介绍EZ-CUBE3是具有Flash存储器编
瑞萨MCU小百科
2024-01-25
669浏览
用来计算EVM的包络仿真器,到底是个啥子?
来看看,你需不需要这门接收机设计课程吧(已更新七次)。如有需要,现在仍然可以报名。我最近在看射频层面的EVM仿真,用的是包络仿真器,即envelope。做个记录吧,还没搞懂,我说到,我看了help文件中的包络仿真的理论,但是没有看懂。在一位号友的指导下,我现在好像是懂了。(1)先来说说,为啥会使用包络仿真。一般来说,带有调制的射频信号,如果又想仿真出射频载波,又想仿真出调制特性,是一件很耗费时间的
加油射频工程师
2023-11-28
713浏览
如何用第三方仿真器单独进行IP仿真
作者:Grace Sun,AMD工程师;来源:AMD开发者社区仿真是设计流程中进行功能性能验证的重要步骤。Vivado IDE集成了Modelsim, VCS, Xcelium等第三方仿真器的目标选项,可以使用户直接从Vivado界面内启动第三方仿真器的仿真,这种方式自动化程度高,便捷且不易出错。但出于习惯,定制灵活性,验证环境等原因,很多用户会在Vivado IDE之外单独启动第三方仿真器用自己
FPGA开发圈
2023-11-24
729浏览
技术干货|光耦仿真器释疑:为何要升级光耦合器技术
点击上方蓝字关注我们!光耦合器又称光电耦合器、光电隔离器和光隔离器,长期以来一直是设计人员寻求系统信号电气隔离的一种选择。自 20 世纪 70 年代以来,这些半导体器件在为工业和汽车终端设备提供安全隔离方面发挥着重要作用。然而,尽管这类器件已经取得了长足的进步,但在电气特性、高压可靠性和集成能力方面似乎存在一定的限制,这促使设计人员探索其他替代方案。于是,各种替代方案便开始不断涌现,比如电容隔离和
德州仪器
2023-10-30
810浏览
嵌入式开发中常见的几种调试接口和仿真器
扫描关注一起学嵌入式,一起学习,一起成长一、JTAG协议JTAG(Joint Test Action Group,联合测试行动小组)是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。现在多数的高级器件都支持JTAG协议,如ARM、DSP、FPGA器件等。标准的JTAG接口是4线:TMS、 TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。相关JTAG引脚
一起学嵌入式
2023-09-19
1046浏览
如何选择用于开发RL78系列MCU电路的仿真器
该视频介绍了用于开发 RL78系列微控制器电路的仿真器。
瑞萨MCU小百科
2023-07-24
656浏览
RA6快速设计指南[2]仿真器支持(2),MCU工作模式
2仿真器支持2.1 SWD接口图1. SWD接口连接注:1. 用户系统复位电路的输出必须为集电极开路。2.2 JTAG接口图 2. JTAG接口连接注:1. 用户系统复位电路的输出必须为集电极开路。2. 在TMS、TCK、TDO和TDI上使用4.7K到10K的上拉电阻。2.3 使用SCI的串行编程接口图3. 使用SCI连接的串行编程接口注:1. 用户系统复位电路的输出必须为集电极开路。2.4 使用
瑞萨MCU小百科
2023-06-05
897浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2023-06-03
1320浏览
RA6快速设计指南[1]简介,电源,仿真器支持(1)
RA6快速设计指南简介本系列文章回答了常见的问题,并指出了单片机 (MCU) 系统设计的一些细节之处,除非通篇阅读硬件手册,否则可能会忽略这些细节。本文档并不适合取代硬件手册,而是对手册的一种补充,重点介绍大多数工程师在开始自己的设计时需要注意的一些关键项目。本文档还从应用的角度探讨了一些设计决策。RA6快速设计指南目标器件RA6系列MCURA6快速设计指南目录1. 电源2. 仿真器支持3. MC
瑞萨MCU小百科
2023-06-02
944浏览
RA2快速设计指南[1]电源与仿真器支持
简介 本系列文章回答了常见的问题,并指出了单片机(MCU)的一些细节之处,除非通篇阅读硬件手册,否则可能会忽略这些细节。本系列文章并不适合取代硬件手册,而是对手册的一种补充,重点介绍大多数工程师在开始自己的设计时需要的一些关键项目。本系列文章还从应用的角度探讨了一些设计决策。 目标MCU RA2 MCU系列目录 1. 电源2. 仿真器支持3. MCU工作模式4. 选项设置存储器5. 安全电路6.
瑞萨MCU小百科
2023-03-20
856浏览
嵌入式没仿真器真的就是只能打log了
关注、星标公众号,直达精彩内容来源:https://zhuanlan.zhihu.com/p/24785018?from_voters_page=true本文适用范围:Linux系统使用语言:C/C++打log的原则1、异常分支或错误处理一定要打log2、重大操作时一定要打log,下面打log场景会讲述log格式的原则1、时间戳必须有,最好能够精确到微秒。精确到秒的时间戳,相信很多人都熟悉
李肖遥
2023-03-08
902浏览
又一嵌入式开源仿真器
同QEMU类似,Renode也是嵌入式相关的一个模拟器。Renode 针对物联网应用,QEMU 针对 PC 模拟器。Renode 简介 Renode 是一个开发框架,通过让你模拟物理硬件系统来加速物联网和嵌入式系统开发。Renode 可以模拟 Cortex-M、RISC-V 等微控制器,不仅可以模拟 CPU指令,还可以模拟外设,甚至可以模拟板载的外设。更强的是,它可以让你在你的 PC 上运行、调试
嵌入式大杂烩
2022-11-28
1328浏览
超级计算机仿真器:人工智能在科学中的新角色
点击蓝字 关注我们SUBSCRIBE to USMICROSOFT人工智能已经成为许多科学家生活中不可或缺的工具,因此,研究人员使用人工智能,现在有了自己的绰号——AI4Science,被会议和实验室使用。近日,微软宣布了自己的AI4Science计划,雇佣了分布在几个国家的数十名员工。其主管Chris Bishop在转向人工智能之前就开始了科学方面的工作。他在爱丁堡大学(University o
IEEE电气电子工程师学会
2022-09-28
1182浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2022-06-10
1911浏览
FPGA实时仿真天花板——NetBox电力电子仿真器来了
概述NetBox是一款基于FPGA的电力电子实时仿真产品。应用在电力电子系统的FPGA小步长仿真领域,无需编译,直接运行。配置上EasyGo DeskSim软件,方便完成系统模型下载运行,实时调参,数据记录等功能,从而进行半实物仿真。产品特色模块化设计 可根据用户需求灵活选择IO配置,最大化利用硬件资源。简化FPGA开发 无需编译,图形化建模环境,实时调参,简化FPGA上的
电力电子技术与新能源
2021-12-13
1356浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2021-11-20
1096浏览
如何在批模式下运行 Vivado 仿真器?
在 Windows 下,我喜欢在批处理模式下运行 Vivado 仿真器。我创建了仿真批文件 (.bat) ,包含以下命令:xvlog file1.vxvhdl file2.vhdxvlog top.vxelab -debug typical top -s top_simxsim top_sim -t xsim_run.tcl当我运行批文件,执行第一条命令后脚本中止。如何正确在批模式下运行 Viva
FPGA开发圈
2021-10-28
1180浏览
Vivado仿真器进行混合语言仿真的一些要点
Vivado 仿真器支持混合语言项目文件及混合语言仿真。这有助于您在 VHDL 设计中包含 Verilog 模块,反过来也是一样。本文主要介绍使用 Vivado 仿真器进行混合语言仿真的一些要点。仿真过程中混合语言的限制VHDL 设计可以实例化 Verilog/System Verilog (SV) 模块,而 Verilog/SV 设计则可以实例化 VHDL 组件。基于组件实例化的的默认绑定可用于
FPGA开发圈
2021-10-22
1762浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2021-05-06
1108浏览
与 Vivado 设计套件联用的支持性第三方仿真器汇总
本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。 这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可” (UG973) 中列出。 Vivado Design Suite 2019.2 Mentor Graphics ModelSim SE/DE/PE (2019
FPGA开发圈
2020-12-14
1710浏览
TI x Cadence |系统级电路仿真和验证软件发布:PSpice® for TI仿真器
点击上方蓝字
德州仪器
2020-09-15
2058浏览
【开发必备】Xilinx快速仿真器用户指南
简介 本指南为 Zynq-7000 AP SoC、Zynq Ultrascale+MPSoC 和 MicroBlaze器件在 Xilinx虚拟仿真平台 (QEMU) 上进行软件开发提供了全面的指导。包括入门和快速参考信息,以及如何调试和创建QEMU启动映像的信息。
FPGA开发圈
2020-06-10
1684浏览
正在努力加载更多...
广告
今日
新闻
1
国家发改委、国家数据局、工业和信息化部发布《国家数据基础设施建设指引》
2
能源效率:边缘计算成功的关键
3
传英伟达成立ASIC部门,双面下注保持不败?
4
超越硅极限的双极半导体器件,关断/接通比超10亿
5
用于电路分析和设计的Spice仿真指南–第14部分:评估用户定义的电气量
6
韩国出口额创纪录,半导体成经济增长引擎
7
中国调整制造电池组件和锂、镓等相关技术出口限制
8
LG Display欲在IT OLED生产线上生产iPhone OLED,但需苹果点头
热门
文章排行
1
2024年12月及全年新能源汽车销量排名
一览众车
1591
2
王自如近照曝光!从格力离职后大变样,曾策划“我妈是董明珠”
快科技
1280
3
2024年12月和全年,各大品牌汽车销量汇总!
汽车电子设计
1272
4
突发!禾赛科技被曝裁员:N+1,无年终奖
谈思汽车
1216
5
台积电美国厂良率超越台厂
52RD
1174
6
苹果2025年19款新品抢先看:最便宜和最轻薄iPhone都来了!
手机技术资讯
1014
7
2024中国大陆晶圆厂(Fab)详细汇总
ittbank
1010
8
骂华为的孙院士,上新闻联播了!说华为搞封闭垄断,是难以对抗西方的!
集成电路IC
1007
9
阿里前董事长张勇履新职;字节TikTok算法负责人或离职;英特尔CEO突然宣布退休|2024年12月全球科技企业高管变动
全球TMT
939
10
苹果2025年19款新品抢先看:最便宜和最轻薄iPhone都来了!
快科技
926
11
【今日分享】2025新年贺词:梦虽遥,追则能圆,愿虽艰,持则可达
今日光电
909
12
RTX50第一弹!RTX5080上市时间敲定
硬件世界
763
13
上海2025年新能源牌照政策压哨更新!哪些细节值得关注?
汽车电子设计
724
14
哪吒汽车全面复工复产!
谈思汽车
720
15
eVTOL电机技术:揭秘未来空中出行的动力核心
电动车千人会
701
16
英伟达最新GB300和B300技术细节曝光
智能计算芯世界
699
17
禾赛激光雷达月交付破10万,机器人领域月交付超过2万
52RD
686
18
立讯精密拟收购闻泰科技部分资产;华为花费5年时间基本实现供应独立;剪映产品负责人张逍然被曝离职|日报
全球TMT
682
19
三星复制“梁孟松模式”落空
芯极速
646
20
英诺赛科正式敲钟上市,国内GaN第一股诞生
第三代半导体风向
637
21
王炸来了!特斯拉自动驾驶即将进入中国,只有华为能接招?
飙叔科技洞察
634
22
惠科郑州将打造“超级工厂”,涉及OLED?
WitDisplay
624
23
明日挂牌上市!功率模组核心部件散热基板国产龙头企业的成本与价值
碳化硅芯观察
610
24
消息称吉利、旷视展开智能驾驶合作,或成立一家新合资公司
52RD
572
25
曝极越汽车开始返聘员工夏一平称不会放弃
智能汽车电子与软件
559
26
华为小米联手投资!这家芯片企业再闯IPO
物联传媒
557
27
美国发布禁止敏感个人数据向中国跨境传输的最终规则!
谈思汽车
548
28
特斯拉上海储能超级工厂竣工,产品将供应全球市场!同时,2025年将加速推进自动驾驶!
飙叔科技洞察
541
29
兆易创新:高算力GD32G5系列MCU如何引领数字能源、电机控制与光通信的变革
皇华电子元器件IC供应商
534
30
SLDA年会前瞻|迈锐光电将精彩亮相SLDA年会
每日LED
532
广告
最新
评论
更多>>
我这,原先V10.5跑的好好的代码,更新V11后,单片机初始化时就不断重启
vaov_3734...
评论文章
2025-01-06
FreeRTOSV11.0升级了多项重要功能,兼容V10版本
这里http://www.zhefar.com/download/training/zhefar/Training%20-%20JTAG(CHS).pdf 有份培训资料挺好,是杭州哲发科技有限公司的。他们是专业JTAG方案供应商,其JTAG综合应用系统是众多杰出工程师在二十多年电子通信产品开发过程中,根据工作需要在实践中建立并完善起来的一套调试/调测/维修系统。产品经过大量验证,已经服务于众多知名公司和上市公司。 JTAG综合应用系统三大功能:板卡测试维修、PLD加载/编程 和 Flash烧写/编程/加载。 www.zhefar.com 我们和好几个兄弟单位都用过,非常好!
xxdg
评论文章
2025-01-05
强大的JTAG边界扫描2-BSDL文件
资料
文库
帖子
博文
1
《相对论》(美·爱因斯坦)
2
《彩色电视机原理与维修》
3
《时间的1000个瞬间》林为民
4
自动增益控制放大器设计与实现
5
《时间简史》(霍金 著)
6
ESP32TFT常用字体库.zip
7
基于单片机音频信号分析仪设计论文
8
12-8学习笔记
9
ASTM D 130-12
10
12-13学习笔记
1
【工程师故事】+2024年:跟大家说说我从工程师到教师的跨界之旅
2
过流保护,大家都会采集电流后经过运放放大送单片机,单片机控制MOS,从而保护后级电路。那短路保护,大家都是怎么做的。现在遇到一个问题,...
3
电流检测电路的两种电路
4
C语言输出圣诞树
5
ESP32搭建TFT_LCD中文字库,附常用字库
6
摩托车电子,ACC钥匙开关关了后,用示波器挂在ACC线上,还是能抓到一个漏电波形,设置的是5V的触发电平。这种概率性的漏电波形如何有什么办法吸...
7
超低频示波器的原理和应用
8
【电子DIY】重拾童年的乐趣——摇杆控制器
1
带驱动隔离器的自动化生产设备的未来
2
光耦合器如何增强医疗设备的安全性
3
国产固态继电器如何满足物联网应用的需求
4
国产数字隔离器在发电厂设备中的作用
5
分布式、域控及SOA架构车身功能测试方案
6
OpenHarmony通过挂载镜像来修改镜像内容,RK3566鸿蒙开发板演示
7
嵌入式开发必备-RK3562演示Linux常用系统查询命令(下)
8
软硬件推波助澜,其效能对影像质量的考验又是什么呢?
1
详解linux系统组成结构
2
全面谈谈ESC系统
3
GPIO,I2C,SPI,UART,USART,USB的区别
4
常见的7个低压无功补偿问题及解答
5
温度比较器电路设计
6
PCB电路触摸按键设计
7
STM32最小系统板电路知识学习
8
二极管串联的电源防反接电路
9
什么是相位噪声?
10
设计一个放大电路
在线研讨会
多路有光·精准不凡——KSW-SGM01模拟信号源发布会
重塑机器人未来:揭秘创新芯片解决方案的颠覆力量
迈来芯Triaxis® 3D磁传感器:汽车安全应用的优选方案
适用于安全连接的新一代PIC32CK SG/GC系列单片机
EE直播间
精密半导体参数测试解决方案
直播时间:01月08日 10:00
E聘热招职位
本网页已闲置超过10分钟,按键盘任意键或点击空白处,即可回到网页
X
最新资讯
国家发改委、国家数据局、工业和信息化部发布《国家数据基础设施建设指引》
能源效率:边缘计算成功的关键
传英伟达成立ASIC部门,双面下注保持不败?
超越硅极限的双极半导体器件,关断/接通比超10亿
用于电路分析和设计的Spice仿真指南–第14部分:评估用户定义的电气量