社区首页
博客
论坛
下载
文库
评测
芯语
研讨会
商城
EE直播间
芯视频
E聘
更多
社区
论坛
博客
下载
评测中心
面包芯语
问答
E币商城
社区活动
资讯
电子工程专辑
国际电子商情
电子技术设计
CEO专栏
eeTV
EE|Times全球联播
资源
EE直播间
在线研讨会
视频
白皮书
小测验
供应商资源
ASPENCORE Studio
活动
IIC Shanghai 2023
2023(第四届)国际 AIoT 生态发展大会
全球 MCU 生态发展大会
第四届临港半导体产业高峰论坛暨司南科技奖颁奖盛典
IIC Shenzhen 2023
第四届中国国际汽车电子高峰论坛
更多活动预告
杂志与服务
免费订阅杂志
电子工程专辑电子杂志
电子技术设计电子杂志
国际电子商情电子杂志
帖子
帖子
博文
电子工程专辑
电子技术设计
国际电子商情
资料
白皮书
研讨会
芯语
文库
×
提示!
您尚未开通专栏,立即申请专栏入驻
帖子
博文
用户
芯语
首页
专栏作家
CEO专栏
论坛
博客
E币商城
资讯
电子工程专辑
国际电子商情
电子技术设计
仿真器
调制信号+射频链路的仿真,雷达的仿真,记得试试Envelope仿真器
动动手指,关注公众号并加星标哦(1) 为啥要用Envelope仿真器在仿真调制信号+射频链路时,载波频率很高,但是调制信号的符号率,相对于载波频率来说,又很小。雷达仿真也是类似的情况,比如多普勒雷达,载波频率和多普勒频率也是相差非常大。如果使用transient仿真器的话,为了仿真出载波,就得设置小的step,但是为了能仿真出调制信号,又得设置足够大的stop,两头一来,耗时就特别长。就算让电脑跑
加油射频工程师
2024-06-14
583浏览
STEPBYSTEP设计一个RISC-V仿真器之一:OpenOCD开发环境搭建
一. 前言这是我们STEP BY STEP开发一个RISC-V仿真器的第一篇。常见的RISC-V仿真器很多都是基于支持JTAG接口的接口芯片比如FTDI的芯片加上开源OpenOCD实现的。但是接口芯片可能不能满足一些灵活的需求,所以我们可以基于通用MCU去替代类似FTDI的接口芯片,即基于MCU去实现硬件适配器,上位机还是基于OpenOCD。所以我们实际就是实现一个类似xx-LINK的硬件。主要有
嵌入式Lee
2024-05-13
656浏览
RL78/G16快速原型开发板FPB与EZ-Cube3仿真器连接演示
RL78G16快速原型开发板与EZ-CUBE3仿真器连接演示主要内容● 快速原型开发板● EZ-CUBE3仿真器● 快速原型开发板如何连接EZ-CUBE3仿真器● 创建LED闪烁演示工程开发环境● e2 studio:2023 - 04● 仿真器:EZ-CUBE3● 开发板:RL78/G16快速原型开发板RL78G16快速原型开发板EZ-CUBE3仿真器介绍EZ-CUBE3是具有Flash存储器编
瑞萨MCU小百科
2024-01-25
655浏览
用来计算EVM的包络仿真器,到底是个啥子?
来看看,你需不需要这门接收机设计课程吧(已更新七次)。如有需要,现在仍然可以报名。我最近在看射频层面的EVM仿真,用的是包络仿真器,即envelope。做个记录吧,还没搞懂,我说到,我看了help文件中的包络仿真的理论,但是没有看懂。在一位号友的指导下,我现在好像是懂了。(1)先来说说,为啥会使用包络仿真。一般来说,带有调制的射频信号,如果又想仿真出射频载波,又想仿真出调制特性,是一件很耗费时间的
加油射频工程师
2023-11-28
695浏览
如何用第三方仿真器单独进行IP仿真
作者:Grace Sun,AMD工程师;来源:AMD开发者社区仿真是设计流程中进行功能性能验证的重要步骤。Vivado IDE集成了Modelsim, VCS, Xcelium等第三方仿真器的目标选项,可以使用户直接从Vivado界面内启动第三方仿真器的仿真,这种方式自动化程度高,便捷且不易出错。但出于习惯,定制灵活性,验证环境等原因,很多用户会在Vivado IDE之外单独启动第三方仿真器用自己
FPGA开发圈
2023-11-24
707浏览
技术干货|光耦仿真器释疑:为何要升级光耦合器技术
点击上方蓝字关注我们!光耦合器又称光电耦合器、光电隔离器和光隔离器,长期以来一直是设计人员寻求系统信号电气隔离的一种选择。自 20 世纪 70 年代以来,这些半导体器件在为工业和汽车终端设备提供安全隔离方面发挥着重要作用。然而,尽管这类器件已经取得了长足的进步,但在电气特性、高压可靠性和集成能力方面似乎存在一定的限制,这促使设计人员探索其他替代方案。于是,各种替代方案便开始不断涌现,比如电容隔离和
德州仪器
2023-10-30
787浏览
嵌入式开发中常见的几种调试接口和仿真器
扫描关注一起学嵌入式,一起学习,一起成长一、JTAG协议JTAG(Joint Test Action Group,联合测试行动小组)是一种国际标准测试协议(IEEE 1149.1兼容),主要用于芯片内部测试。现在多数的高级器件都支持JTAG协议,如ARM、DSP、FPGA器件等。标准的JTAG接口是4线:TMS、 TCK、TDI、TDO,分别为模式选择、时钟、数据输入和数据输出线。相关JTAG引脚
一起学嵌入式
2023-09-19
995浏览
如何选择用于开发RL78系列MCU电路的仿真器
该视频介绍了用于开发 RL78系列微控制器电路的仿真器。
瑞萨MCU小百科
2023-07-24
653浏览
RA6快速设计指南[2]仿真器支持(2),MCU工作模式
2仿真器支持2.1 SWD接口图1. SWD接口连接注:1. 用户系统复位电路的输出必须为集电极开路。2.2 JTAG接口图 2. JTAG接口连接注:1. 用户系统复位电路的输出必须为集电极开路。2. 在TMS、TCK、TDO和TDI上使用4.7K到10K的上拉电阻。2.3 使用SCI的串行编程接口图3. 使用SCI连接的串行编程接口注:1. 用户系统复位电路的输出必须为集电极开路。2.4 使用
瑞萨MCU小百科
2023-06-05
893浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2023-06-03
1300浏览
RA6快速设计指南[1]简介,电源,仿真器支持(1)
RA6快速设计指南简介本系列文章回答了常见的问题,并指出了单片机 (MCU) 系统设计的一些细节之处,除非通篇阅读硬件手册,否则可能会忽略这些细节。本文档并不适合取代硬件手册,而是对手册的一种补充,重点介绍大多数工程师在开始自己的设计时需要注意的一些关键项目。本文档还从应用的角度探讨了一些设计决策。RA6快速设计指南目标器件RA6系列MCURA6快速设计指南目录1. 电源2. 仿真器支持3. MC
瑞萨MCU小百科
2023-06-02
930浏览
RA2快速设计指南[1]电源与仿真器支持
简介 本系列文章回答了常见的问题,并指出了单片机(MCU)的一些细节之处,除非通篇阅读硬件手册,否则可能会忽略这些细节。本系列文章并不适合取代硬件手册,而是对手册的一种补充,重点介绍大多数工程师在开始自己的设计时需要的一些关键项目。本系列文章还从应用的角度探讨了一些设计决策。 目标MCU RA2 MCU系列目录 1. 电源2. 仿真器支持3. MCU工作模式4. 选项设置存储器5. 安全电路6.
瑞萨MCU小百科
2023-03-20
848浏览
嵌入式没仿真器真的就是只能打log了
关注、星标公众号,直达精彩内容来源:https://zhuanlan.zhihu.com/p/24785018?from_voters_page=true本文适用范围:Linux系统使用语言:C/C++打log的原则1、异常分支或错误处理一定要打log2、重大操作时一定要打log,下面打log场景会讲述log格式的原则1、时间戳必须有,最好能够精确到微秒。精确到秒的时间戳,相信很多人都熟悉
李肖遥
2023-03-08
901浏览
又一嵌入式开源仿真器
同QEMU类似,Renode也是嵌入式相关的一个模拟器。Renode 针对物联网应用,QEMU 针对 PC 模拟器。Renode 简介 Renode 是一个开发框架,通过让你模拟物理硬件系统来加速物联网和嵌入式系统开发。Renode 可以模拟 Cortex-M、RISC-V 等微控制器,不仅可以模拟 CPU指令,还可以模拟外设,甚至可以模拟板载的外设。更强的是,它可以让你在你的 PC 上运行、调试
嵌入式大杂烩
2022-11-28
1314浏览
超级计算机仿真器:人工智能在科学中的新角色
点击蓝字 关注我们SUBSCRIBE to USMICROSOFT人工智能已经成为许多科学家生活中不可或缺的工具,因此,研究人员使用人工智能,现在有了自己的绰号——AI4Science,被会议和实验室使用。近日,微软宣布了自己的AI4Science计划,雇佣了分布在几个国家的数十名员工。其主管Chris Bishop在转向人工智能之前就开始了科学方面的工作。他在爱丁堡大学(University o
IEEE电气电子工程师学会
2022-09-28
1179浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2022-06-10
1901浏览
FPGA实时仿真天花板——NetBox电力电子仿真器来了
概述NetBox是一款基于FPGA的电力电子实时仿真产品。应用在电力电子系统的FPGA小步长仿真领域,无需编译,直接运行。配置上EasyGo DeskSim软件,方便完成系统模型下载运行,实时调参,数据记录等功能,从而进行半实物仿真。产品特色模块化设计 可根据用户需求灵活选择IO配置,最大化利用硬件资源。简化FPGA开发 无需编译,图形化建模环境,实时调参,简化FPGA上的
电力电子技术与新能源
2021-12-13
1347浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2021-11-20
1095浏览
如何在批模式下运行 Vivado 仿真器?
在 Windows 下,我喜欢在批处理模式下运行 Vivado 仿真器。我创建了仿真批文件 (.bat) ,包含以下命令:xvlog file1.vxvhdl file2.vhdxvlog top.vxelab -debug typical top -s top_simxsim top_sim -t xsim_run.tcl当我运行批文件,执行第一条命令后脚本中止。如何正确在批模式下运行 Viva
FPGA开发圈
2021-10-28
1174浏览
Vivado仿真器进行混合语言仿真的一些要点
Vivado 仿真器支持混合语言项目文件及混合语言仿真。这有助于您在 VHDL 设计中包含 Verilog 模块,反过来也是一样。本文主要介绍使用 Vivado 仿真器进行混合语言仿真的一些要点。仿真过程中混合语言的限制VHDL 设计可以实例化 Verilog/System Verilog (SV) 模块,而 Verilog/SV 设计则可以实例化 VHDL 组件。基于组件实例化的的默认绑定可用于
FPGA开发圈
2021-10-22
1746浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为01. 检查晶振不是插好了2. 检查芯片有没有锁死 一、 出现下面提示时1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。如果com口不在com4之内,修改com口端号,修改方法如下双击设备,打开属性选择端口设置-高级修改端口号选择com4之内的端口号,有时
畅学单片机
2021-05-06
1104浏览
与 Vivado 设计套件联用的支持性第三方仿真器汇总
本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。 这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可” (UG973) 中列出。 Vivado Design Suite 2019.2 Mentor Graphics ModelSim SE/DE/PE (2019
FPGA开发圈
2020-12-14
1699浏览
TI x Cadence |系统级电路仿真和验证软件发布:PSpice® for TI仿真器
点击上方蓝字
德州仪器
2020-09-15
2036浏览
【开发必备】Xilinx快速仿真器用户指南
简介 本指南为 Zynq-7000 AP SoC、Zynq Ultrascale+MPSoC 和 MicroBlaze器件在 Xilinx虚拟仿真平台 (QEMU) 上进行软件开发提供了全面的指导。包括入门和快速参考信息,以及如何调试和创建QEMU启动映像的信息。
FPGA开发圈
2020-06-10
1675浏览
JTAG仿真器常见问题总结
一、 出现下面提示时,通常是熔丝位没有打开JTAG 注意在使用JTAG之前,请先使用USBASP将下面的JTAG位打开,设置为0 1. 检查晶振不是插好了 2. 检查芯片有没有锁死 一、 出现下面提示时 1. 请核对你的设备管理器中JTAG的com口是不是在com4之内。 如果com口不在com4之内,修改com口端号,修改方法如下 双击设备,打开属性 选
畅学单片机
2020-06-01
1402浏览
正在努力加载更多...
广告
今日
新闻
1
Intel新一代B系游戏显卡发布,还带AI帧生成...
2
商务部:加强对美出口管制,涉及镓、锗、锑、超硬材料等两用物项
3
四大行业协会齐发声明:国内企业谨慎采购美国芯片
4
无需电池?这种设备能让你随时随地监测口腔健康
5
德国大众汽车关厂裁员并减薪,12万名工人罢工行动爆发
6
美国无人机监管政策收严,为了远程ID广播我不得不这样做
7
光速反制!商务部决定加强相关两用物项对美国出口管制
8
比亚迪拿下苹果ipad组装市场超三成份额
热门
文章排行
1
各大车企付款周期汇总
一览众车
1769
2
突发!美国再次出手!对中国140家芯片公司重大打击!
集成电路IC
1697
3
美国欲限制140家中国芯片公司,包含多家设备巨头
半导体工艺与设备
1258
4
【完整名单列表】美国再将140家中国半导体企业列入实体名单!
EETOP
742
5
突发!美将140家中国半导体企业列入实体名单,附完整名单及政策细节!
大鱼机器人
552
6
日本一水坝现巨型哥斯拉壁画:预计明年1月底将消失
快科技
472
7
华为Mate70搭载的麒麟9020芯片有多强悍?!
凡亿PCB
464
8
新一代麒麟到底啥水平!华为Mate70系列麒麟9020处理器跑分揭秘
快科技
424
9
中国芯片新锐50强
贞光科技
418
10
比亚迪智驾团队重大人事变动!
谈思汽车
404
11
最新禁令来了!140多家中国芯片公司被限制
谈思实验室
329
12
马斯克遭遇重击:加州狙击特斯拉致其股价暴跌
国纳科技匠
307
13
华为、联想、美的、小米、海尔、格力等中国35家电子家电公司2024年第三季度财报汇总
全球TMT
304
14
传小米2025年正式发布自研3nmSoC芯片
皇华电子元器件IC供应商
292
15
美国HBM禁令,将于12月6日发布
芯极速
265
16
不到5%!国产存储芯片急需突破!全球存储芯片市场“冰火两重天”?
飙叔科技洞察
264
17
牙膏挤爆!iPhone17八大升级,苹果史无前例的巨变
手机技术资讯
250
18
华为Mate70Pro“纯血鸿蒙版”来了!无法兼容安卓!
飙叔科技洞察
239
19
传小米明年正式发布自研3nmSoC芯片
ittbank
236
20
全球首个可量产UWB雷达泊车方案亮相,这家企业率先抢占新风口
高工智能汽车
219
21
牙膏挤爆!iPhone17八大升级,苹果史无前例的巨变
快科技
216
22
彻底疯了!CES不给中国人发签证
集成电路IC
193
23
2024年11月新能源汽车销量排名
一览众车
185
24
索赔800万,字节起诉模型“投毒”实习生~网友:800万只是电费!!!
C语言与CPP编程
184
25
华为手机前三季度出货量接近去年全年,Mate70系列成新增长点
52RD
181
26
HBM禁令深度思考
集成电路IC
177
27
美国又拉黑140家中国芯片企业,包括北方华创、拓荆、昇维旭…
芯通社
172
28
商汤绝影最新智驾「大杀器」:1块GPU顶500台量产车,而且有5.4万块
智能车参考
172
29
刚刚!美国新限制140家中企!(名单曝光)
中国半导体论坛
170
30
光谱分析进入手机,摄像头产业链增添新活力
MEMS
169
广告
最新
评论
更多>>
确实是非常有价值的工具,京东买一个电源适配器用这玩意儿测电压然后发现电压不足有质量问题,然后赔钱给我,多买几个可以发财了哈。
james1982...
评论文章
2024-12-03
万用表使用大全(20条测量方法,建议收藏!)
zanzanzan
洪正安
评论文章
2024-11-29
Allegro17.4常用系统参数的设置
资料
文库
帖子
博文
1
《论系统工程》(第2版,钱学森 著,1988年10月修订版)
2
170中国新能源汽车品牌图谱
3
STM32HAL库手册
4
激光加工
5
《普通高中教科书:数学》(人教A版)选择性必修 第1册 教师教学用书
6
ADS SI 仿真分析与设计
7
开关稳压器的特性与评估方法
8
Arduino Nano 和 DHT11 实现 LabVIEW 温湿度采集
9
数字通信第五版及习题答案
10
高精度高光洁度磨削
1
这里二极管是什么作用?
2
《十万个为什么》Excel 问题与答案 得分 比较游戏 规则
3
【东软载波 ES32VF2264 开发板】环境搭建和开箱测评打印数据
4
封装衬底的铜皮如何转换成焊盘,或者直接添加一个管脚序号
5
电流回路示意图,对不对?这样也采不到负载(灯)的电流吧?要怎么更改才能采集到灯头(负载)的电流
6
【东软载波 ES32VF2264 开发板】05 基础功能测试——ADC
7
【Arduino uno教程 】合集
8
【Arduino uno教程 】(六)串口通信,发送与接收
1
比较器检测模拟脉冲说明(四)
2
温度传感器精度的影响因素
3
紫光展锐联合上汽海外发布量产车型,赋能汽车智能化
4
瑞芯微方案主板Linux修改系统串口波特率教程,触觉智能RK3562开发板演示
5
工控板方案中,哪些功能可以通过USB扩展出来?
6
12-2学习笔记
7
简析光耦的基本原理和其在光伏逆变器产品中的重要作用
8
豹8出圈,比亚迪高端化稳了?
1
8通道RTD数据采集模块原理图分析
2
贴片功率电感失效原因
3
开关损耗的测试
4
成品输送带的维修案例
5
高压柜的过电流保护
6
如何进行linux内核调试
7
分享一份I2C通讯总结
8
瑞萨ISL81802双通道同步降压控制器设计经验分享
9
高速信号处理时,如何控制开关稳压器的脉动?
10
设计分享:用ADUX1020评价板搞一个手势传感器
在线研讨会
uModule DC/DC稳压器 - 减少热量、增加功率
ADAS系统中采用的MEMS时钟
PLL基础知识及其在时钟系统中的应用
PIC16F13145单片机可配置逻辑模块(CLB)概览
EE直播间
无线前沿新技术与测试技术峰会-线上直播
直播时间:12月05日 09:30
首场直播发布: Keysight AP5000 系列新型高性价比模拟信号源
直播时间:12月06日 10:00
功率表的基础知识及其校准
直播时间:12月10日 10:00
提升毫米波信号测试精度
直播时间:12月18日 14:00
E聘热招职位
本网页已闲置超过10分钟,按键盘任意键或点击空白处,即可回到网页
X
最新资讯
Intel新一代B系游戏显卡发布,还带AI帧生成...
商务部:加强对美出口管制,涉及镓、锗、锑、超硬材料等两用物项
四大行业协会齐发声明:国内企业谨慎采购美国芯片
无需电池?这种设备能让你随时随地监测口腔健康
德国大众汽车关厂裁员并减薪,12万名工人罢工行动爆发