源码系列:基于FPGA的数字电压表(AD)设计

原创 FPGA技术江湖 2024-04-22 07:43

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。


今天给大侠带来基于FPGA的数字电压表设计,附源码,获取源码,请在“FPGA技术江湖”公众号内回复“数字电压表设计源码”,可获取源码文件。话不多说,上货。


设计背景


模数转换器,又称A/D转换器,简称ADC,通常是指一个将模拟信号转换为抗干扰性更强的数字信号的电子器件。一般的ADC是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小,故任何一个ADC都需要一个参考模拟量作为转换标准。比较常见的参考标准为最大的可转换信号大小,而输出的数字量则表示输入信号相对于参考信号的大小。本设计则通过对模数转换芯片(TLC549)的采样控制,实现一个简易的数字电压表。

 

设计原理


TLC549典型的配置电路如下图所示:


TLC549的端口描述如下:

TLC549是一个8位的串行模数转换器,A/D转换时间最大为17us,最大转换速率为4MHz。下图为TLC549的访问时序,从图中可以看出,TLC549的使用只需对外接输入输出时钟(I/O CLK)和芯片选择(/CS)、输入的模拟信号(ANALOG IN)的控制。


分析时序图可知:当片选信号(/CS)拉低时,ADC前一次的转换数据(A)的最高位A7立即出现在数据线DATA OUT上,之后的数据在时钟I/O CLOCK的下降沿改变,可在I/O CLOCK的上升沿读取数据。转换时,/CS要置为高电平。在设计操作时,要注意Tsu(CS)、Tconv、Twh(CS)和I/O CLOCK的频率这几个参数。Tsu(CS)为CS拉低到I/O CLOCK第一个时钟到来的时间,至少要1.4us;Twh(CS)为ADC的转换时钟,不超过17us,Tconv的值也不超过17us;I/O CLOCK为 1.1MHz。其他参数可参考数据手册。

由于ADC是8位的,所以采样的电压值为:

V =(D*Vref)/256

其中V为采样的电压值;D为ADC转换后读取的8位二进制数;Vref为参考电压值,此处为2.5V。


设计架构


本设计通过调节电位器RW1改变ADC的模拟输入值,数据采样读取后由数码管显示,最后用万用表测量输入电压,并与读取在数码管上的数据(单位为mV)作比较。设计的架构图如下: 


设计架构图对应端口的功能描述表:

tlc549_Driver模块采用序列机实现接口访问时序,并且产生1MHz的ADC_Clk和采集到ADC_data;Control模块,将采集到的ADC数据(ADC_data)换算成对应的电压值,并经过二进制到BCD转换以后传送到数码管;DIG_LED_DRIVE模块负责数码管的驱动,将传递过来的数据显示出来。


设计代码


AD_TLC549顶层模块代码:

module AD_TLC549(Clk,Rst_n,ADC_Din,ADC_Clk,ADC_Cs_n,Dig_Led_sel,Dig_Led_seg);
input Clk; input Rst_n; input ADC_Din;
output ADC_Clk; output ADC_Cs_n; output [2:0]Dig_Led_sel; output [7:0]Dig_Led_seg;
wire Get_Flag; wire [7:0]ADC_data; wire [23:0]seg_data;
tlc549_Driver tlc549_Driver( .Clk(Clk), .Rst_n(Rst_n), .En(1'b1), .ADC_Din(ADC_Din), .ADC_Clk(ADC_Clk), .ADC_Cs_n(ADC_Cs_n), .Data(ADC_data), .Get_Flag(Get_Flag) );
Control Control( .Clk(Clk), .Rst_n(Rst_n), .Get_Flag(Get_Flag), .ADC_data(ADC_data), .seg_data(seg_data) );
DIG_LED_DRIVE DIG_LED_DRIVE( .Clk(Clk), .Rst_n(Rst_n), .Data(seg_data), .Dig_Led_seg(Dig_Led_seg), .Dig_Led_sel(Dig_Led_sel) );
endmodule


tlc549_Driver模块代码:

module tlc549_Driver (Clk,Rst_n,En,ADC_Din,ADC_Clk,ADC_Cs_n,Data,Get_Flag);
input Clk; //系统50MHz时钟输入 input Rst_n;//全局复位 input En; //ADC转换使能,高电平有效
input ADC_Din;//ADC串行数据输入
output reg ADC_Clk; //ADC时钟信号输出 output reg ADC_Cs_n;//ADC片选信号输出 output reg Get_Flag;//数据转换完成标志 output reg [7:0] Data;//ADC转换以后的电压值
reg [10:0] Cnt1; //系统时钟计数器 reg [7:0] data_tmp;//数据寄存器
//系统时钟上升沿计数 always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) Cnt1 <= 11'd0; else if(!En) Cnt1 <= 11'd0; else if(Cnt1 == 11'd1310) Cnt1 <= 11'd0; else Cnt1 <= Cnt1 + 1'b1; end
always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) begin ADC_Clk <= 1'b0; ADC_Cs_n <= 1'b1; data_tmp <= 8'd0; Data <= 8'd0; end else if(En) begin case(Cnt1) 1 : ADC_Cs_n <= 1'b0; //1~71(Tsu) 71 : begin ADC_Clk <= 1; data_tmp[7] <= ADC_Din;end 96 : ADC_Clk <= 0; 121 : begin ADC_Clk <= 1; data_tmp[6] <= ADC_Din;end 146 : ADC_Clk <= 0; 171 : begin ADC_Clk <= 1; data_tmp[5] <= ADC_Din;end 196 : ADC_Clk <= 0; 221 : begin ADC_Clk <= 1; data_tmp[4] <= ADC_Din;end 246 : ADC_Clk <= 0; 271 : begin ADC_Clk <= 1; data_tmp[3] <= ADC_Din;end 296 : ADC_Clk <= 0; 321 : begin ADC_Clk <= 1; data_tmp[2] <= ADC_Din;end 346 : ADC_Clk <= 0; 371 : begin ADC_Clk <= 1; data_tmp[1] <= ADC_Din;end 396 : ADC_Clk <= 0; 421 : begin ADC_Clk <= 1; data_tmp[0] <= ADC_Din;end 446 : begin ADC_Clk <= 0; ADC_Cs_n <= 1'b1; Get_Flag<=1;end 447 : begin Data <= data_tmp; Get_Flag<=0; end //447~1310(Twh) 1310: ; default:; endcase end else begin ADC_Cs_n <= 1'b1; ADC_Clk <= 1'b0; end end
endmodule

Control模块代码:
module Control(Clk,Rst_n,Get_Flag,ADC_data,seg_data);
input Clk;//系统时钟输入 input Rst_n;//系统复位 input Get_Flag;//ADC采集数据完成标志 input [7:0]ADC_data;//ADC采集数据输入
output reg [23:0]seg_data;//数码管待显示数据
reg [3:0]qianwei; //千位 reg [3:0]baiwei; //百位 reg [3:0]shiwei; //十位 reg [3:0]gewei; //个位 reg [15:0]tenvalue;//采样的电压值
//采集电压值计算 always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) tenvalue<=0; else if(Get_Flag)//新的数据采集完成,可以进行计算 tenvalue<=(ADC_data*100*25)/256; end
//二进制转BCD值 always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) begin qianwei<=0; baiwei<=0; shiwei<=0; gewei<=0; end else begin qianwei<=tenvalue/1000; //2 baiwei<=(tenvalue/100)%10; //5 shiwei<=(tenvalue/10)%10; //0 gewei<=tenvalue%10; //0 end end
//数码管显示数值 always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) seg_data<=0; else seg_data<={ qianwei, //千位 baiwei, //百位 shiwei, //十位 gewei, //个位 8'hFF //空闲 }; end
endmodule

DIG_LED_DRIVE模块代码:
/*数码管扫描模块,位选为外部74hc138译码器进行控制*//*仿真时请将本文件设置为顶层,并在代码中根据相应注释中的内容选择cnt1_MAX = 24*/
module DIG_LED_DRIVE(Clk,Rst_n,Data,Dig_Led_seg,Dig_Led_sel);
input Clk; //系统时钟输入 input Rst_n; //系统复位 input [23:0]Data;//待显示数据
output [7:0]Dig_Led_seg;//数码管段选 output [2:0]Dig_Led_sel;//数码管位选
parameter system_clk = 50_000_000;
localparam cnt1_MAX = 24;/*仿真的时候使用,板级验证时请注释掉*/ //localparam cnt1_MAX = system_clk/1000/2-1;/*板级验证的时候使用,仿真时请注释掉*/
reg [14 :0] cnt1; //分频计数器 reg clk_1K; //扫描时钟,1KHz reg [2:0]sel_r; //数码管位选 reg [7:0]seg_r; //数码管段选 reg [3:0]disp_data; //单位显示数据缓存
//1KHz时钟分频计数器 always@(posedge Clk) begin if(!Rst_n)cnt1<=0; else if(cnt1==cnt1_MAX)cnt1<=0; else cnt1<=cnt1+1'b1; end
//得到1KHz时钟 always@(posedge Clk or negedge Rst_n) begin if(!Rst_n) clk_1K<=0; else if(cnt1==cnt1_MAX) clk_1K<=~clk_1K; end
//位选信号控制 always@(posedge clk_1K or negedge Rst_n) begin if(!Rst_n) sel_r<=3'd0; else if(sel_r == 3'd3) sel_r<=3'd0; else sel_r<=sel_r+1'b1; end
//根据不同的数码管位选择不同的待显示数据 always@(*) begin if(!Rst_n) disp_data=4'd0; else begin case(sel_r) 3'd0:disp_data=Data[23:20]; 3'd1:disp_data=Data[19:16]; 3'd2:disp_data=Data[15:12]; 3'd3:disp_data=Data[11:8]; 3'd4:disp_data=Data[7:4]; 3'd5:disp_data=Data[3:0]; default :disp_data=4'd0; endcase end end
//数据译码,将待显示数据翻译为符合数码管显示的编码 always@(*) begin if(!Rst_n) seg_r=8'hff; else begin case(disp_data) 4'd0: seg_r=8'hc0; 4'd1: seg_r=8'hf9; 4'd2: seg_r=8'ha4; 4'd3: seg_r=8'hb0; 4'd4: seg_r=8'h99; 4'd5: seg_r=8'h92; 4'd6: seg_r=8'h82; 4'd7: seg_r=8'hf8; 4'd8: seg_r=8'h80; 4'd9: seg_r=8'h90; 4'd10: seg_r=8'h88; 4'd11: seg_r=8'h83; 4'd12: seg_r=8'hc6; 4'd13: seg_r=8'ha1; 4'd14: seg_r=8'h86; 4'd15: seg_r=8'h8e; default : seg_r=8'hff; endcase end end
assign Dig_Led_seg = seg_r; assign Dig_Led_sel = sel_r;
endmodule

仿真测试



AD_TLC549_tb顶层测试代码如下:
`timescale 1ns/1ps
module AD_TLC549_tb;
reg Clk; reg Rst_n; reg ADC_Din;
wire ADC_Clk; wire ADC_Cs_n; wire [2:0] Dig_Led_sel; wire [7:0] Dig_Led_seg;
initial begin Clk = 1; Rst_n = 0; ADC_Din = 0; #200.1 Rst_n = 1;

#1400 ADC_Din=1; //aa #1000 ADC_Din=0; #1000 ADC_Din=1; #1000 ADC_Din=0; #1000 ADC_Din=1; #1000 ADC_Din=0; #1000 ADC_Din=1; #1000 ADC_Din=0;
#17000 #1400 ADC_Din=1; //98 #1000 ADC_Din=0; #1000 ADC_Din=0; #1000 ADC_Din=1; #1000 ADC_Din=1; #1000 ADC_Din=0; #1000 ADC_Din=0; #1000 ADC_Din=0;
//#20000 $stop; end
AD_TLC549 AD_TLC549_dut( .Clk(Clk), .Rst_n(Rst_n), .ADC_Din(ADC_Din), .ADC_Clk(ADC_Clk), .ADC_Cs_n(ADC_Cs_n), .Dig_Led_sel(Dig_Led_sel), .Dig_Led_seg(Dig_Led_seg) );
always #10 Clk = ~Clk;
endmodule

仿真图如下所示:


观察仿真图,实现了数据的采集,并正确显示,下板验证结果也达到了设计的预期效果。

- THE END -

🍁


往期精选 

 
 

【免费】FPGA工程师人才招聘平台

FPGA人才招聘,企业HR,看过来!

系统设计精选 | 基于FPGA的实时图像边缘检测系统设计(附代码)

基于原语的千兆以太网RGMII接口设计

时序分析理论和timequest使用_中文电子版

求职面试 | FPGA或IC面试题最新汇总篇

资料汇总|FPGA软件安装包、书籍、源码、技术文档…(2024.01.06更新)

FPGA就业班,2024.02.26开班,新增课程内容不加价,高薪就业,线上线下同步!

FPGA技术江湖广发江湖帖

无广告纯净模式,给技术交流一片净土,从初学小白到行业精英业界大佬等,从军工领域到民用企业等,从通信、图像处理到人工智能等各个方向应有尽有,QQ微信双选,FPGA技术江湖打造最纯净最专业的技术交流学习平台。


FPGA技术江湖微信交流群

加群主微信,备注姓名+学校/公司+专业/岗位进群


FPGA技术江湖QQ交流群

备注姓名+学校/公司+专业/岗位进群

FPGA技术江湖 任何技术的学习就好比一个江湖,对于每一位侠客都需要不断的历练,从初入江湖的小白到归隐山林的隐世高人,需要不断的自我感悟自己修炼,让我们一起仗剑闯FPGA乃至更大的江湖。
评论
  • 遇到部分串口工具不支持1500000波特率,这时候就需要进行修改,本文以触觉智能RK3562开发板修改系统波特率为115200为例,介绍瑞芯微方案主板Linux修改系统串口波特率教程。温馨提示:瑞芯微方案主板/开发板串口波特率只支持115200或1500000。修改Loader打印波特率查看对应芯片的MINIALL.ini确定要修改的bin文件#查看对应芯片的MINIALL.ini cat rkbin/RKBOOT/RK3562MINIALL.ini修改uart baudrate参数修改以下目
    Industio_触觉智能 2024-12-03 11:28 45浏览
  • 作为优秀工程师的你,已身经百战、阅板无数!请先醒醒,新的项目来了,这是一个既要、又要、还要的产品需求,ARM核心板中一个处理器怎么能实现这么丰富的外围接口?踌躇之际,你偶阅此文。于是,“潘多拉”的魔盒打开了!没错,USB资源就是你打开新世界得钥匙,它能做哪些扩展呢?1.1  USB扩网口通用ARM处理器大多带两路网口,如果项目中有多路网路接口的需求,一般会选择在主板外部加交换机/路由器。当然,出于成本考虑,也可以将Switch芯片集成到ARM核心板或底板上,如KSZ9897、
    万象奥科 2024-12-03 10:24 41浏览
  • 戴上XR眼镜去“追龙”是种什么体验?2024年11月30日,由上海自然博物馆(上海科技馆分馆)与三湘印象联合出品、三湘印象旗下观印象艺术发展有限公司(下简称“观印象”)承制的《又见恐龙》XR嘉年华在上海自然博物馆重磅开幕。该体验项目将于12月1日正式对公众开放,持续至2025年3月30日。双向奔赴,恐龙IP撞上元宇宙不久前,上海市经济和信息化委员会等部门联合印发了《上海市超高清视听产业发展行动方案》,特别提到“支持博物馆、主题乐园等场所推动超高清视听技术应用,丰富线下文旅消费体验”。作为上海自然
    电子与消费 2024-11-30 22:03 86浏览
  • 概述 说明(三)探讨的是比较器一般带有滞回(Hysteresis)功能,为了解决输入信号转换速率不够的问题。前文还提到,即便使能滞回(Hysteresis)功能,还是无法解决SiPM读出测试系统需要解决的问题。本文在说明(三)的基础上,继续探讨为SiPM读出测试系统寻求合适的模拟脉冲检出方案。前四代SiPM使用的高速比较器指标缺陷 由于前端模拟信号属于典型的指数脉冲,所以下降沿转换速率(Slew Rate)过慢,导致比较器检出出现不必要的问题。尽管比较器可以使能滞回(Hysteresis)模块功
    coyoo 2024-12-03 12:20 71浏览
  • 最近几年,新能源汽车愈发受到消费者的青睐,其销量也是一路走高。据中汽协公布的数据显示,2024年10月,新能源汽车产销分别完成146.3万辆和143万辆,同比分别增长48%和49.6%。而结合各家新能源车企所公布的销量数据来看,比亚迪再度夺得了销冠宝座,其10月新能源汽车销量达到了502657辆,同比增长66.53%。众所周知,比亚迪是新能源汽车领域的重要参与者,其一举一动向来为外界所关注。日前,比亚迪汽车旗下品牌方程豹汽车推出了新车方程豹豹8,该款车型一上市就迅速吸引了消费者的目光,成为SUV
    刘旷 2024-12-02 09:32 101浏览
  •         温度传感器的精度受哪些因素影响,要先看所用的温度传感器输出哪种信号,不同信号输出的温度传感器影响精度的因素也不同。        现在常用的温度传感器输出信号有以下几种:电阻信号、电流信号、电压信号、数字信号等。以输出电阻信号的温度传感器为例,还细分为正温度系数温度传感器和负温度系数温度传感器,常用的铂电阻PT100/1000温度传感器就是正温度系数,就是说随着温度的升高,输出的电阻值会增大。对于输出
    锦正茂科技 2024-12-03 11:50 70浏览
  • 《高速PCB设计经验规则应用实践》+PCB绘制学习与验证读书首先看目录,我感兴趣的是这一节;作者在书中列举了一条经典规则,然后进行详细分析,通过公式推导图表列举说明了传统的这一规则是受到电容加工特点影响的,在使用了MLCC陶瓷电容后这一条规则已经不再实用了。图书还列举了高速PCB设计需要的专业工具和仿真软件,当然由于篇幅所限,只是介绍了一点点设计步骤;我最感兴趣的部分还是元件布局的经验规则,在这里列举如下:在这里,演示一下,我根据书本知识进行电机驱动的布局:这也算知行合一吧。对于布局书中有一句:
    wuyu2009 2024-11-30 20:30 106浏览
  • 艾迈斯欧司朗全新“样片申请”小程序,逾160种LED、传感器、多芯片组合等产品样片一触即达。轻松3步完成申请,境内免费包邮到家!本期热荐性能显著提升的OSLON® Optimal,GF CSSRML.24ams OSRAM 基于最新芯片技术推出全新LED产品OSLON® Optimal系列,实现了显著的性能升级。该系列提供五种不同颜色的光源选项,包括Hyper Red(660 nm,PDN)、Red(640 nm)、Deep Blue(450 nm,PDN)、Far Red(730 nm)及Ho
    艾迈斯欧司朗 2024-11-29 16:55 171浏览
  • 当前,智能汽车产业迎来重大变局,随着人工智能、5G、大数据等新一代信息技术的迅猛发展,智能网联汽车正呈现强劲发展势头。11月26日,在2024紫光展锐全球合作伙伴大会汽车电子生态论坛上,紫光展锐与上汽海外出行联合发布搭载紫光展锐A7870的上汽海外MG量产车型,并发布A7710系列UWB数字钥匙解决方案平台,可应用于数字钥匙、活体检测、脚踢雷达、自动泊车等多种智能汽车场景。 联合发布量产车型,推动汽车智能化出海紫光展锐与上汽海外出行达成战略合作,联合发布搭载紫光展锐A7870的量产车型
    紫光展锐 2024-12-03 11:38 68浏览
  • 国产光耦合器正以其创新性和多样性引领行业发展。凭借强大的研发能力,国内制造商推出了适应汽车、电信等领域独特需求的专业化光耦合器,为各行业的技术进步提供了重要支持。本文将重点探讨国产光耦合器的技术创新与产品多样性,以及它们在推动产业升级中的重要作用。国产光耦合器创新的作用满足现代需求的创新模式新设计正在满足不断变化的市场需求。例如,高速光耦合器满足了电信和数据处理系统中快速信号传输的需求。同时,栅极驱动光耦合器支持电动汽车(EV)和工业电机驱动器等大功率应用中的精确高效控制。先进材料和设计将碳化硅
    克里雅半导体科技 2024-11-29 16:18 170浏览
  • 学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习笔记&记录学习习笔记&记学习学习笔记&记录学习学习笔记&记录学习习笔记&记录学习学习笔记&记录学习学习笔记记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&
    youyeye 2024-11-30 14:30 73浏览
  • RDDI-DAP错误通常与调试接口相关,特别是在使用CMSIS-DAP协议进行嵌入式系统开发时。以下是一些可能的原因和解决方法: 1. 硬件连接问题:     检查调试器(如ST-Link)与目标板之间的连接是否牢固。     确保所有必要的引脚都已正确连接,没有松动或短路。 2. 电源问题:     确保目标板和调试器都有足够的电源供应。     检查电源电压是否符合目标板的规格要求。 3. 固件问题: &n
    丙丁先生 2024-12-01 17:37 86浏览
  • 11-29学习笔记11-29学习笔记习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习笔记&记录学习习笔记&记学习学习笔记&记录学习学习笔记&记录学习习笔记&记录学习学习笔记&记录学习学习笔记记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&记录学习学习笔记&学习学习笔记&记录学习学习笔记&记录学习学习笔记&记
    youyeye 2024-12-02 23:58 52浏览
  • 光伏逆变器是一种高效的能量转换设备,它能够将光伏太阳能板(PV)产生的不稳定的直流电压转换成与市电频率同步的交流电。这种转换后的电能不仅可以回馈至商用输电网络,还能供独立电网系统使用。光伏逆变器在商业光伏储能电站和家庭独立储能系统等应用领域中得到了广泛的应用。光耦合器,以其高速信号传输、出色的共模抑制比以及单向信号传输和光电隔离的特性,在光伏逆变器中扮演着至关重要的角色。它确保了系统的安全隔离、干扰的有效隔离以及通信信号的精准传输。光耦合器的使用不仅提高了系统的稳定性和安全性,而且由于其低功耗的
    晶台光耦 2024-12-02 10:40 105浏览
我要评论
0
点击右上角,分享到朋友圈 我知道啦
请使用浏览器分享功能 我知道啦