【年终盘点】2023年中国内地EDA产业:破冰前行

原创 芯思想 2023-12-26 09:10

根据推算,中国内地EDA工具的市场约为110亿元(约15亿美元)。目前国产EDA公司的总体营收约在20-30亿元间,如此看来,国产EDA的市场发展空间足够大。

但事实上,一、本土IC设计公司购买国产EDA工具的意愿不够强烈;二、本土EDA提供商的营收还不够支付目前的人工费用和研发费用,也就是说,目前本土EDA公司大部分是在泥淖中前行;三、在前两年的不多泡沫中,许多初创EDA公司都是高价且无限制招人,现在开始被迫裁员了;四、点工具各自为政,格式不一,为串链造成困扰。

中国本土EDA工具的未来绝对不是简单国产替代就可以,而是要挖掘客户尚未得到满足的需求,去填补并实现客户“既要又要还要”的需求;套用芯华章副总裁傅勇在ICCAD2023的一句话:对于客户来讲,眼里只有EDA,无论是否国产。中国本土EDA产业需要脚踏实地,从单点突破到建立生态圈;用户不要任性的去伤害本土EDA供应商,要对其保持韧性;不要肆意诽谤友商,要携手突围、破冰前行。

海外EDA巨头新思科技(Synopsys)、楷登电子(Cadence)就是一对欢喜冤家,在相互厮杀和互捧中,已经远远的把老三甩开了几条街。

一、高光时刻

1、李强总理莅临广立微考察调研

2023年10月7日,中共中央政治局常委、国务院总理李强莅临广立微电子股份有限公司调研,听取浙江集成电路产业发展情况汇报,了解广立微公司技术研发情况。在调研时,李强总理强调,要坚持科技自立自强,推进集成电路全产业链发展,加强协同攻关,提高自主可控水平。

(图片来源:广立微官网)

2、国家集成电路设计自动化技术创新中心正式运行

2023年6月29日,国家集成电路设计自动化技术创新中心(EDA国创中心)正式揭牌,标志着该中心全面进入实质运行阶段。中国科学院院士、东南大学校长黄如担任中心主任,东南大学首席教授杨军担任执行主任。

理事长兼主任黄如表示,EDA的国际竞争是一场只能赢不能输,只许成功、不许失败的国家之战、未来之战,希望以EDA国创中心揭牌为起点,并肩奋战,同心前行,为突破国家EDA关键核心技术,引领EDA产业自主可控的发展,加快实现我国集成电路产业高质量发展和高水平的科技自力自强全力拼搏!

EDA国创中心由东南大学、南京江北新区牵头,联合EDA领域骨干企业、高校、科研院所等优势资源参与共建。揭牌仪式上,华大九天、国微芯、概伦电子、广立微、鸿芯微纳、芯华章、合见工软等七家国家EDA领域的龙头企业与EDA国创中心签署了战略合作协议,将为中心的建设起到积极的推动作用。

随着EDA国创中心的揭牌运行,希望以EDA国创中心为核心平台,聚集政府、高校、企业等各方优势资源,打造“辐射全国,影响全球”的EDA创新高地、人才高地。希望中心在EDA领域能能够成为类似美国SRC(Semiconductor Research Corporation)和欧盟IMEC(Interuniversity Microelectronics Centre)的技术研发联盟,不仅是和同办的高校、企业合作,未来更要和海外的顶尖研究小组合作,为我国和全球集成电路产业的高质量创新发展做出应有的贡献。

3、无锡北京大学EDA研究院揭牌,发布两大成果

2023年12月13日,北京大学无锡电子设计自动化研究院(无锡北大EDA研究院)举行揭牌仪式!

无锡北大EDA研究院首席科学家黄如院士在视频致辞中强调,在当前的国际形势下,集成电路身处于中美科技站的最前沿,特别是EDA产业和技术的发展。特别希望研究院的各位同事能够发扬北大敢为人先的精神,把研究院做出特色,引领发展,能够把源源不断的原创性的成果在研究院成功转化落地,推动我国EDA产业的快速发展。

无锡北大EDA研究院院长王润声教授表示,作为依托于北京大学集成电路学院的一个异地科研机构,首先是要推动EDA技术的研发,最终目标是促进成果产业化。同时作为EDA国创中心的共建单位,以及EDA2联盟主要成员,研究院致力于EDA产业服务,推动国产EDA工具链和相关产业标准的成熟和完善。我们希望将研究院打造成一个产学研一体的平台,为国内EDA产业乃至整个半导体产业的发展提供强有力的支撑。研究院下设三个研发中心,一是高效率EDA技术研究中心,一是EDA产业标准研究中心,一是半导体量测技术研究中心,分别聚焦数字电路设计流程高性能EDA技术、中国EDA产业相关标准和半导体电学表征和量测相关技术的研究和成果产业化。

在揭牌仪式现场,无锡北大EDA研究院还介绍了两款重量级工具-MLSynthesis和HeteroPlace。

4、5位EDA领域华人科学家当选IEEE Fellow,4位出自刘烱朗流派

何磊(Lei He)入选理由:对集成电路和智能能源系统的贡献;加州大学洛杉矶分校/东方理工大学;1990年和1993年分别获得复旦大学电子工程学士和硕士(师从唐璞山教授),1999年获加州大学洛杉矶分校博士(师从丛京生教授)。现任东方理工高等研究院讲席教授。发表专著Advanced Model Order Reduction Techniques for VLSI Designs,会议及期刊论文300余篇,Google Scholar被引总数1万余次,研究涉及电子设计自动化(EDA)、计算机体系结构、现场可编程逻辑门阵列(FPGA)、人工智能与数字孪生在资源可持续性和医疗方面的应用。

何宗易(Tsung-Yi Ho)入选理由:在微流控生物芯片的设计、自动化和测试方面做出的贡献;台湾清华大学/香港中文大学计算机科学与工程学系教授。2005年获台湾大学博士(师从张耀文教授)主要研究方向为微流控生物芯片设计自动化与测试。他曾于2013年获得VLSI测试研讨会(VTS)的最佳论文奖,并于2015年获得IEEE TCAD的最佳论文奖。

任昊星(Haoxing Ren)入选理由:对集成电路物理合成的贡献;现就职英伟达设计自动化研究部门;1996年和1999年获上海交通大学学士和硕士,2006年德州大学奥斯汀分校博士毕业(师从潘志刚教授);主要研究领域是人工智能和机器学习、电路和VLSI设计。2000年至2006年,他在IBM EDA实验室工作,是贴装工具的主要开发人员;2007年加入 IBM 研究DA小组,领导了逻辑ECO综合工具和高级综合工具的开发;此后担任PowerCore的技术主管,2016年5月加入NVIDIA。

熊瑾珺(Jinjun Xiong)入选理由:对工艺变化建模、电路良率优化及其在工业中的应用的贡献;纽约州立大学布法罗分校;1998年和2000年分别获清华大学学士和硕士,2006年获加州大学洛杉矶分校博士(师从何磊教授),曾任IBM托马斯研究中心的项目总监和高级研究员,在计算机科学、半导体、数学和材料方面拥有多项技术突破。熊教授的很多研究成果被IBM的企业产品和工具所采用。在纽约州立大学布法罗分校建立了X-Lab,致力于加速人工智能系统和解决方案。

仇沁茹(Qinru Qiu)入选理由:对节能计算系统建模和优化的贡献;雪城大学;1994年获浙江大学学士,1998年/2001年获南加州大学硕士/博士(师从Massoud Pedram教授研究领域包括计算机系统的动态电源和热管理,能量采集实时嵌入式系统的功率,以及性能优化和认知应用的神经形态计算和高性能计算。 

5、中国EDA领域学术盛会ISEDA召开

2023年5月8-11日,首届中国EDA领域专业盛会ISEDA研讨会在南京召开。ISEDA旨在探讨新的挑战,展示前沿技术,并为EDA社区提供预测EDA研究领域未来方向的机会。ISEDA涵盖了从器件和电路级到系统级、从模拟到数字设计以及制造的所有EDA主题。会议旨在架起EDA研究人员和开发人员之间富有成效和新颖的沟通交流桥梁。

首届ISEDA接轨国际领会DAC、ICCAD,6场培训课程(Tutorial);5个大会主旨报告;6场专题论坛(Panel);设立了23个分论坛(Session)。大会从首届开始就与国内顶级EDA大赛集成电路EDA设计精英挑战赛紧密结合,促进国产EDA人才培养。

ISEDA2024研讨会将于2024年5月10-13日在西安召开,敬请参与。

6、陈建利荣获“40岁以下优秀创新奖”

DAC 2023现场,复旦大学教授、立芯软件董事长陈建利荣获“DAC 40岁以下优秀创新奖”(DAC Under-40 Innovators Award)。该奖项旨在表彰电子设计与自动化领域内具备影响力及领先性的年轻创新者、全球电子行业最优秀的人才,奖励他们为电子设计和自动化领域所做出的特殊贡献。

陈建利博士曾获得国际集成电路电子设计自动化学术会议DAC 2017最佳论文奖、连续三年(2017-2019)带领团队获得国际集成电路计算机辅助设计学术竞赛CAD Contest @ICCAD 第一名;2023年率队获得ISPD 2023 EDA竞赛全球第一名。

“DAC 40岁以下优秀创新奖”自2017年开始颁发,中国内地每年至少有一位学者荣获该奖项,包括清华大学刘勇攀教授、汪玉教授,中科院计算所陈云霁研究员,阿里巴巴集团研究科学家、平头哥半导体副总裁孟建熠,中科院计算所王颖研究员,北京大学孙广宇教授。

7、林亦波荣获ICCAD最佳审稿人奖

2023年11月,ICCAD2023现场,北京大学集成电路学院林亦波教授获颁ICCAD首届最佳审稿人奖(2023 IEEE ICCAD Inaugural Best Reviewer Award)。

林亦波博士长期从事集成电路物理设计研究,在机器学习辅助和异构并行的物理设计技术方面取得了国际领先的创新成果。他提出了基于深度学习框架和异构并行的物理设计优化与时序分析算法,突破了现有算法的性能和效率瓶颈;提出了致力于AI for EDA的开源数据集,推动相关研究的发展。他的研究成果多次获得旗舰会议和期刊最佳论文奖,并在多家国内外知名公司原型验证,在国内外产生了重要学术影响。

2023年7月,北京大学集成电路学院林亦波教授曾获得2023年度CCF集成电路早期职业生涯奖(Early Career Award),表彰其在集成电路物理设计做出的突出贡献。

8、临港新片区EDA创新联合体成立

2023年3月24日,临港新片区EDA创新联合体成立。

为推动EDA核心技术攻关,需建立适当的创新机制集聚上海和临港新片区的资源,聚力突破核心点工具;同时,以创新联合体为载体,推动EDA企业与用户企业、高校等深度合作,联合攻关,以用促研,加快EDA工具的研发和迭代。

为此,作为国产EDA龙头企业,概伦电子牵头联合上下游重点企业,包括芯片制造企业、设计企业、高校等,产学研合作共建EDA创新联合体,并以此为载体形成若干针对国内特定应用的EDA参考设计流程,加快推动国内EDA的生态建设。

EDA创新联合体将瞄准国内特别是临港新片区的集成电路产业需求,增强国内企业在EDA工具开发、创新与技术上的能力,明确若干芯片领域为突破口,根据实际应用场景定制EDA流程和工具,加速DTCO(芯片设计与工艺协同优化)方法学和生态落地,强化“本地设计、本地制造”的理念并提升芯片产品的竞争力,形成稳定、可持续发展的商业模式。

9、合见工软获IC创新奖

2023年3月25日,2023集成电路产业链协同创新发展交流会暨中国集成电路创新联盟大会在北京、上海、广州等多个会场举行,会上正式颁发了第六届集成电路产业技术创新奖(简称“IC创新奖”)。合见工软“新一代时序驱动的高性能原型验证系统UV APS”荣获“IC创新奖”之“技术创新奖”奖项,也是继华大九天、广立微之后第三个获此殊荣的EDA公司。

10、芯华章EDA工具获莱茵TÜV ISO 26262 TCL3认证

2023年12月6日,芯华章系统级EDA数字仿真工具GalaxSim获德国莱茵TÜV集团ISO 26262 TCL3功能安全工具认证,能够支持汽车安全完整性标准最高ASIL D级别的芯片开发验证。

而就在2023年12月4日,芯擎科技导入芯华章相关EDA验证工具,赋能车规级芯片和应用软件的协同开发,助力大规模缩短产品上市周期,加速新一代智能驾驶芯片创新。借助芯华章车规级EDA验证工具,芯擎科技能够在芯片设计阶段,就进行和真实使用场景一致的系统级软硬件联合仿真和调试,提升系统级应用环境下软硬件协同表现,降低芯片在整车应用过程中的风险。

二、国际竞赛获多项第一名

1、复旦大学斩获ISPD 2023 EDA竞赛全球第一名

ISPD是国际顶尖的集成电路物理设计学术会议,由国际计算机协会ACM(Association for Computing Machinery)举办,2005年至今已连续举办了19届,每年由业界一流公司和高校发布竞赛题目,并提供测试用例和测试系统。此次竞赛题目是纽约大学发布的“Advanced Security Closure of Physical Layouts:芯片物理版图的高级安全收敛”,是2022年竞赛主题的延续和发展。竞赛围绕全新的评判维度——安全收敛,要求团队理解硬件木马的攻击,从而在算法上保证芯片安全性。探索芯片安全提升的同时,还需要关注传统芯片的指标,也即性能、功耗与面积,并清除设计规则违例,保证芯片可生产且综合表现最优。相较于2022年竞赛,本次的竞赛要求更加严格,也更加贴近工业中芯片设计和生产的要求。

2023年,复旦大学陈建利教授、俞军教授带领团队与全球EDA领域的强校倾力角逐,夺得ISPD 2023 EDA竞赛全球第一。团队成员包括成员1名博士生邹鹏(三年级),4名直博生魏民(二年级)、童星雨(二年级)、陈国豪(一年级)、蔡志杰(一年级)和3名硕士生邱炳纲(二年级)、李嘉玮(一年级)和朱奔超(一年级)。

团队成员分工合作,共同针对芯片PPA指标、硬件安全和设计约束三个方面进行探索和优化。在芯片安全方面,团队研发了一套安全驱动的布局优化算法,与业界领先的工具相融合,有效地阻止了潜在的木马攻击。在芯片性能指标方面,团队将工业标准的芯片设计流程与机器学习优化算法相结合,从而实现了芯片性能、功耗及面积的全面提升与节约。在处理设计规则约束时,团队深入挖掘了学术界开源的ASAP 7nm标准单元库及其设计规则约束,以规避和消除违例。在学生和老师的共同努力下,团队较好地权衡了芯片的性能、功耗、面积以及硬件安全指标方面,并在比赛的6个测试用例中获得了5个第一名和1个第二名的成绩,最终以综合成绩第一斩获了比赛的冠军。从比赛结果中可以看出复旦团队在3个测试用例的得分相比其他队伍有显著的领先优势。

竞赛团队学生均在上海立芯软件科技有限公司实习,投身国产EDA工具研发。一方面,解决实际问题锻炼了工程能力,提高了问题定位、复现与分析速度;另一方面,深化了对EDA算法、芯片设计方法的理解,加深了产学研用的相结合。

2、东南大学夺得首届MLCAD Contest第一名

2023年9月13日,首届MLCAD Contest奖项公布,东南大学SEU-Placer队夺冠。参赛队员包括顾浩、顾健、岳逾先,指导老师是朱自然、杨军、陈建利。

首届MLCAD Contest赛题基于Xilinx UltraScale+架构,需要设计算法确定DSP、BRAM以及URAM三种宏模块的位置,并通过Vivado进行标准单元布局和布线,使得布线拥塞和运行时间最小化。主要难点包括宏模块布局阶段的拥塞优化以及考虑cascaded shape、region constraint等多种约束。

东南大学SEU-Placer团队提出了一种拥塞驱动的多电场能全局布局以及多种约束感知的合法化算法,在140个公开例子以及198个隐藏例子下实现了较好的宏模块布局结果。

3、清华大学获得EDAthon2023竞赛的第一名

EDAthon2023竞赛第一名是清华大学喻文健教授团队的程佳文(21级直博生)、黄杰辰(22级直博生)。这也是喻文健教授团队第二次获得EDAthon竞赛的第一名,上一次是2020年。

EDAthon竞赛是由IEEE CEDA香港分会联合香港高校主办的一项针对EDA算法优化的全天编程竞赛,涉及EDA领域中一些有趣且富有挑战性的课题。整个比赛要求参赛队伍综合运用EDA、计算机体系结构、以及机器学习等各方面知识和分析技巧来解决集成电路与系统中电子设计自动化问题,注重对参赛队伍的团队协同合作能力、队员解决问题的能力以及EDA应用程序的编程能力的考察。EDAthon竞赛旨在推广EDA并为EDA领域培养最优秀的学生和专业人才。

每年的EDAthon竞赛设有五道赛题,主要来自以下五个领域:(1)芯片物理设计;(2)电路时序分析;(3)系统设计与分析;(4)逻辑综合与高层次综合;(5)新兴技术在EDA中的应用。参赛队伍可自行选择题目进行求解,总分最高者获得冠军。

4、东南大学、北京航空航天大学分获DAC SDC竞赛FPGA、GPU赛道第一名

SDC比赛由DAC会议组织并和AMD、百度及北京大学EDA研究院联合举办,该项比赛从2018年创办至今,吸引了国内外众多团队参与。参赛队伍需要对由百度提供的10000张共7类目标的训练数据集,在Nvidia Jetson Nano GPU或Xilinx Kria KV260完成从软件算法到硬件实现的整个目标检测系统设计。该比赛综合考察了参赛队伍的软硬件协同设计能力,最终构建实现完整的高精度、高速度的目标检测系统。

东南大学SEUer队凭借0.504的F1识别精度与480fps的优质性能,以总分122.1分荣膺DAC SDC竞赛FPGA赛道全球第一,并成为该项赛事有史以来唯一的“二连冠”得主,创造了技术力以外的新纪录。SEUer队由杨军教授、张萌研究员共同指导,团队成员为博士生张经纬、沈朝瑶,硕士生崔泽楠、曹新野。中科院刘成老师指导的InvolutionNET队、康旺副教授指导的FSBIN队分获第二名和第三名。

北航PCCC队在算法层面设计更加符合底层硬件架构的神经网络模型,并通过优化cuda算子等方法大幅提高硬件端模型推理速率,凭借测试精度f1-score 0.612,推理速度FPS 74.77的优异成绩,成功斩获GPU赛道第一名。PCCC队由康旺副教授指导,成员包括博士生白金宇、硕士生曾烨均、本科生秦海岩。同时,东南大学张萌研究员、博士生沈朝瑶共同指导的AI2023队获得第二名。 

三、国内EDA顶级大赛--集成电路EDA设计精英挑战赛

2023年12月24日,第五届集成电路EDA设计精英挑战赛总决赛落幕!

香港中文大学和台湾清华大学联队获得2023年集成电路EDA设计精英挑战赛总决赛最高奖项“麒麟杯”。
图片来源:香港中文大学余备教授朋友圈

图片来源:香港中文大学余备教授朋友圈
图片来源:香港中文大学余备教授朋友圈

恭喜刘方舟、唐梧迁、王睿杰三位同学,祝贺余备、王俊尧两位指导老师!


东南大学队和西南交通大学队分获2023年集成电路EDA设计精英挑战赛总决赛“菁英杯”。

恭喜陈千禧、陶润哲、邓雨姣三位同学,祝贺指导老师邸志雄!

图片来源:西南交通大学邸志雄教授朋友圈

喜井开、白涛、杨中信三位同学,祝贺指导老师曹鹏

图片来源:东南大学曹鹏教授朋友圈

集成电路EDA设计精英挑战赛聚焦EDA技术发展中的重点领域和重点问题,从EDA技术链合理性角度,选拔赛题,以推进竞赛持续高水平发展。

第五届EDA竞赛与前四届竞赛相比,最大的特色就是在常规赛题外,引入打榜赛题。希望通过打榜赛题,以吸引更多高质量的队伍参与,更好的兼顾人才培养与技术创新,赛题覆盖面与前沿性问题;还有就是与ISEDA大会相结合,打榜赛题颁奖将在ISEDA现场颁发。

2023年大赛共有十道赛题,其中打榜赛题1道:基于ARM多核CPU架构的故障仿真并行加速(海思);常规赛题9道:组合逻辑优化与工艺映射的智能流程(安路)、统计静态时序分析算法实现(华大九天)、基于VCD的FSM 覆盖率统计(芯华章)、FPGA Die级系统布线算法设计(思尔芯)、基于机器学习的SoC电源网络静态压降预测(行芯)、MBIST自动规划分组算法(国微芯)、标准单元电路的版图自动生成(概伦电子)、面向SystemVerilog Constraints的通用约束求解器(合见工软)、超大规模版图图形匹配算法(广立微)。

今年大赛共有来自北京大学、东南大学、复旦大学、南京邮电大学清华大学、台湾清华大学、西安电子科技大学、香港科技大学香港中文大学等国内高校以及加拿大的UCB不列颠哥伦比亚大学和美国的USC南加州大学,共计69个单位的494支队伍,1182位学生参参加。其中,博士、硕士队伍占比达75%。

四、融资

1、国微芯宣布完成首轮数亿元对外融资

2023年7月10日,国微芯宣布完成首轮数亿元对外融资,由安信乾宏、广州立丰共同领投。本轮融资将主要用于国微芯深度打造国产数字芯片全流程 EDA 工具系统,并加快实现产品规模化量产、应用及强化核心技术队伍建设。进一步夯实国微芯数字EDA全流程服务能力,为数字产业发展提供安全、可靠且便捷高效的工具链。

国微芯在数字EDA全流程建设方面有着丰富的经验和全面的解决方案,搭建了EDA+IP+设计服务一体化平台,依托统一的数据底座、通用服务引擎、面向对象的规则开发语言以及高效的定型运算架构等一系列关键技术,能够为集成电路设计人员提供一站式的工具链和全面的技术支持。此外,国微芯在数字EDA全流程工具开发的基础之上践行DTCO的技术理念,注重本土EDA产业生态的建设与发展,秉持用户导向的理念,与国内IC设计公司和工艺厂进行深度的连接,不断倾听客户需求并进行产品优化,始终保持与市场需求的紧密对接。

2、展诚科技获数千万元A轮融资

2023年1月,展诚科技获毅达资本数千万元A轮融资。

展诚科技成立于2002年5月,专注于集成电路设计服务与EDA软件开发,主要服务于世界TOP20集成电路设计及Foundry企业,累计交付客户近5000个芯片产品设计服务项目。

展诚科技EDA主打产品Z-RC为寄生参数提取工具,其牵头研发人员曾带队开发了Synopsys的金牌签核寄生参数提取产品StarRC。

3、芯华章获中信科5G基金数千万元战略投资

芯华章,凭借雄厚扎实的团队建设、先导性的产品技术创新以及出色的产业化落地服务能力,获中信科5G基金战略投资。本轮融资将用于加快芯华章实现产品量产、落地和强化专家级技术支持队伍建设,进一步夯实芯华章数字验证全流程服务能力,为数字产业发展提供安全、可靠的高质量工具链。

基于芯华章先进的数字验证EDA工具,信科资本将积极协助中国信科集团旗下二进制半导体、宸芯科技等企业引进全方位的系统级验证支持和服务,搭建更完善的数字产业协同生态,并积极探索与芯华章在人工智能、智能网联汽车、高性能计算、智能工业物联网等领域的深度合作,为推动中国高水平科技自立自强贡献力量。

4、培风图南完成数千万元A++轮融资

培风图南完成数千万元A++轮融资,由汇川产投领投,永鑫方舟、苏纳微新跟投。本轮融资所募资金将用于进一步加大产品研发、提高产品性能、加强市场的开拓,不断提升培风图南制造类EDA软件的综合实力。

培风图南成立于2021年,是一家为晶圆厂提供生产制造全流程EDA软件及工艺研发服务综合解决方案的供应商,旗下包括珂晶达和墨研科技。

公司主要产品包括光学邻近效应修正(OPC)、工艺器件仿真(TCAD)、3D 表面结构仿真 (Emulator)、TCAD-SPICE 快速建模、电路仿真与寄生参数抽取在内的全系列制造类EDA软件等产品。同时,培风图南也为客户提供全流程工艺研发辅助服务和技术咨询,是可以提供DTCO一站式“软件+服务”完整解决方案的企业。

5、亚科鸿禹完成超亿元A轮融资

2023年3月10日,数字电路设计仿真验证EDA工具及解决方案领先供应商亚科鸿禹在微信公众号上宣布,已于近日完成超亿元A轮融资,由国产EDA龙头企业北京华大九天科技股份有限公司领投,新鼎资本、齐芯资本、火星创投等资本参投。

据悉,亚科鸿禹从2009年起从事FPGA原型验证EDA工具的研发与应用。

6、龙讯旷腾完成近亿元人民币融资

龙讯旷腾完成了A轮及A+轮近亿元人民币融资,投资方为方正和生、国宏嘉信等。募集资金将主要用于加速核心产品研发、扩展工业应用生态、提升市场地位比及计算资源升级等。

龙讯旷腾成立于2015年,主要提供国内微观尺度材料计算仿真产品研发及服务,致力推进原子精度模拟在学术及工业领域的应用发展。

目前,龙讯旷腾已形成以世界领先的第一性原理平面波密度泛函软件PWmat为核心,包括超大规模线性标度第一性原理计算软件LS3DF、具有从头算精度的高效率机器学习力场PWMLFF,以及在线可视化作业提交模块Q-Flow、在线材料建模工具Q-Studio和SaaS化集成计算服务平台Mcloud在内的一整套功能完备、性能突出、高效易用的计算软件产品和应用解决方案。

2023年融资的公司还有联方电子、奇捷科技、华芯程、菲斯力芯、英诺达等。

五、整合并购

2023年度(2022年12月21日-2023年12月25日),国产EDA三大上市公司都出手并购或参股其他EDA公司。合计2023年相关并购或参股事件超过10个案例。本文列出几个有重要意义的并购案例。

1、广立微收购亿瑞芯股份

2023年9月26日,广立微围绕集成电路成品率提升领域,加快产品和技术生态布局以促进公司快速发展,拟以股权受让的方式投资亿瑞芯。本次投资完成后,公司通过直接及间接的方式总计控制亿瑞芯62%的股权,亿瑞芯将成为公司的控股子公司,纳入公司合并报表范围。

亿瑞芯专注于集成电路可测试性设计(DFT)技术服务与产品开发,建立了完善的芯片DFT设计流程,主要业务和研发方向包括EDA工具和流程开发、不同类型芯片具体测试方案的开发定制、自动化、智能化芯片测试系统的研发。

广立微深耕EDA产业多年,围绕着集成电路成品率提升技术现已形成了一系列测试结构、测试芯片设计工具、CMP仿真建模工具及集成电路良率分析管理、缺陷分析管理等软件。广立微表示,亿瑞芯解决方案能够与公司的良率提升系统协同互补,打通数据链,完善公司的良率提升总体解决方案,为设计公司、晶圆厂提供更完整的方案。通过本次投资能够将DFT技术融入现有的产品与技术生态,深度联动设计端与制造端,为集成电路产业客户提供更全面和优质的良率提升产品、技术与服务,将有助于加快推动公司产业布局和战略目标的实现,符合公司的整体战略规划和全体股东的利益。

2、华大九天收购睿晶聚源股份

2023年7月28日,睿晶聚源股东变更,华大九天收购珠海高新天使创业投资有限公司的持有的睿晶聚源股份,成功入主睿晶聚源。

睿晶聚源成立于2017年,主要产品包括大容量版图显示软件、集成电路光刻仿真软件、及光刻检测软件等。

3、概伦电子收购芯智联

2023年5月,概伦电子收购芯智联100%股权。芯智联拥有领先的自动/半自动布局、自动/半自动逃逸布线、参考布局、区域布线、多线避让等多项EDA核心技术

概伦电子表示,芯智联的现有技术和产品能够将概伦电子在芯片级EDA设计和验证的领先地位拓展至板级和封装级设计,既弥补了公司产品在板级和封装级设计的空白,又能够和公司已有的先进设计和验证技术相结合,进一步提升公司产品的市场竞争力,并形成完整的芯片级、板级和封装设计的全流程解决方案,对进一步丰富公司EDA生态具有重要意义,符合公司的发展战略。

4、思尔芯完成并购国微晶锐

2022年12月28日,思尔芯宣布并购国微晶锐,并进行核心技术整合,将其硬件仿真技术融入数字EDA全流程布局,推出企业级硬件仿真系统OmniArk芯神鼎。

六、新品发布

1、硬件仿真系统

今年是我国硬件仿真系统元年,国内EDA厂商思尔芯、芯华章、合见工软相继发布产品,和国际三巨头的产品同台竞技。

在芯片的研发中,风险主要来自芯片的正确性代价成本。如何在流片前及时、彻底地发现设计中潜藏的逻辑错误,保证芯片的可用性、高效性、始终是业内着力解决的问题。因此验证在芯片设计与实现中是非常重要的一环,这些复杂芯片的开发都需要进行更全面的测试验证。在数字电路设计的早期,设计和验证团队往往会选择软件仿真、硬件仿真及原型验证作为常规验证工具。

业内人士通常将硬件仿真作为调试的大杀器,尤其在面对SoC中硬件和软件的交互。硬件仿真有着比软仿更高的运算能力再加上全可视的特点, 能够更有效地发现缺陷并提供调试和修正手段,解决嵌入式硬件和软件底层边界之间的疑难杂症。

硬件仿真系统(hardware emulator)能对全芯片进行和芯片时序行为一致的硬件仿真,包括全芯片信号的提取,对全芯片的功能、性能、功耗进行系统级的验证与调试,也就是说硬件仿真器在芯片设计过程中可对完整封闭的设计进行加速仿真并调试,相较FPGA原型验证的好处是,用户无需花费大量的时间去考虑如何设计、如何分割、如何布局布线等问题,从而在易用性方面大大增强。

2023年3月17日,思尔芯率先推出硬件仿真系统OmniArk芯神鼎。芯神鼎在提供硬件加速平台的同时也提供各种功能的创新配套软件:用户设计语法自动纠错、 Smart P&R 技术,ABS(Auto-Block Select)技术, 多样化信号采集手段等等,让用户实现MHz级仿真加速、全自动智能编译流程、强大调试能力,以及多种仿真验证模式。更拥有丰富的 VIP 库,适合超大规模高端通用芯片设计的系统级验证,可以满足不同验证场景需求。

2023年6月15日,芯华章正式发布硬件仿真系统桦敏HuaEmu E1。项目研发负责人表示,桦敏HuaEmu E1可满足150亿门以上芯片应用系统的验证容量。从从高性能FPGA硬件验证系统“桦捷HuaPro P1”到双模验证系统“桦捷HuaPro P2E”再到硬件仿真系统“桦敏HuaEmu E1”,芯华章的数字验证产品逐步完善,从原型验证(Prototype Verification)到硬件仿真(Emulation)。随着桦敏HuaEmu E1的正式推出,代表芯华章已经迈入了拥有完整数字验证全流程工具链的新时代。

2023年10月12日,合见工软宣布推出验证硬件系统UVHS,以更好地解决大规模数字芯片功能验证流程中所面对的仿真性能、设计启动效率和复杂多任务场景的挑战。UVHS是创新的高性能、大容量全场景验证专用硬件加速平台,集成了自主研发的全流程时序驱动的智能编译软件UVHS Compiler,可以在单一验证EDA系统中以不同运行模式,来应对复杂多样的SoC软硬件验证任务所带来的全场景要求。目前该产品已在多家客户的主流大芯片项目中成功完成超过60亿门设计规模的实际商业化部署,并实现成功流片迭代。

2、DFT

2023年11月25日,广立微重磅发布可测性设计自动化和良率诊断解决方案(DFTEXP流程和解决方案)。DFTEXP是一个完整的EDA平台,此平台集成了全新的DFT工具、DFT设计和良率诊断分析流程,用户可以轻松应对复杂的SoC芯片、大规模芯片的诊断测试、汽车电子的功能性安全测试以及良率提升的挑战,并取得质量与成本双赢,为行业打造完善良率提升生态。DFTEXP涵盖DFT全流程工具,支持MCU、AI、GPU、Network、5G基带、AP等不同应用领域芯片和规模的DFT设计实现需求,并且支持系统级测试的In-System-Test, 以支持汽车电子的功能安全测试方案。

(EDA国创中心会员单位按英文名称首写字母排序)

华大九天(Empyrean)

 

2022年7月13日,华大九天在DAC2022会议期间隆重发布了一款高性能晶体管级电源完整性分析工具——Empyrean Patron®。该工具聚焦于模拟芯片的电源完整性检查,可高效地提供精准、全面、可靠的EM/IR分析数据及多种EM/IR检查报告。Empyrean Patron®的推出,是华大九天模拟电路仿真系列产品家族的重要补充,更迎合了集成电路设计者的迫切需求,成为业界EM/IR分析解决方案的“破局者”。Empyrean Patron®作为一款晶体管级电源完整性分析工具,主要拥有动态EM/IR、自热效应和多状态EM/IR分析能力,工具轻量化且快速显示的可视化界面支持版图反标功能,轻松配置,简单易用。Patron由业界认可的华大九天SPICE仿真引擎Empyrean ALPS®驱动,受智能矩阵求解器(SMS)技术加持,速度相比传统工具可实现2倍以上加速;面对高精度EM/IR分析,直接求解模式确保无精度损失;独立研制的迭代求解模式可以提供更佳的仿真能力,实现高性能EM/IR分析。

2023年8月18日,华大九天在生态伙伴及用户大会上全新推出了面向射频、存储电路的全流程解决方案。Empyrean ALPS-RF拥有完备的射频仿真分析功能和较同类产品更高的加速比;Empyrean ALPS-FS具有统一的架构引擎优势,智能全局收敛算法保证了仿真精度和收敛性,容量和性能均达到业界先进水平,它们壮大了华大九天“ALPS仿真产品家族”。突破应用领域的边界是华大九天的特长——基于十余年在平板显示这一重要产业的技术积淀,推出新型显示产业界首创的FIAA(一种窄边框设计技术)EM/IR仿真分析方案,支持四端器件/IS/Aging模型;推出能够完整支持STD、IOMemory及IP等多类型设计的一站式特征化提取方案Empyrean Liberal;为集成电路制造业赋能,完善Mask数据生成解决方案,其中掩模版排版工具Mage功能完备易用,GoldMask工具可加速完成MEBES转档、Job deck加载、Density分析等。

国微芯(GWX)

 

2023年11月10日,国微芯在ICCAD2023现场发布六款EDA工具,覆盖物理验证平台、可靠性平台、形式验证平台、光学邻近矫正平台。

物理验证平台—芯天成设计规则检查工具EsseDRC,采用分布式计算架构、集成高性能统一数据底座、高效率几何图形计算引擎等关键技术,助力设计工程师迅速定位版图中存在的DRC问题,线性的缩短芯片物理验证周期,加速产品流片前的版图验证速度,为复杂几何图形及先进工艺设计规则提供稳定、准确及高效的物理验证解决方案。

物理验证平台—芯天成版图集成工具EsseDBScope,是基于国微芯EDA统一数据底座研发的标志性工具,本次推出的更新版本,新增了IP merge、LVL、Signal tracing、PG Find short等功能。产品基于独创的数据压缩算法,支持数据Hierarchy存储,可实现大规模版图数据的秒开;同时提供强大易用的script引擎,实现Pcell灵活定制和封装,批量生成Test pattern;自研Boolean Engine提供高效稳定的图形计算,为海量数据的处理分析提供有力支撑。集成版图查询、定位、测量、标记、缩放等功能,支持快速Signal tracing、PG Find short、IP merge、Metal density、LVL、Boolean等数据分析处理。

可靠性平台—芯天成可靠性时序分析工具EsseChipRA,产品具备灵活、强大的可靠性时序分析引擎,能够覆盖芯片设计时序签核检查、车规芯片老化情况估算、标准单元工艺波动影响、辅助布局布线时序约束等需求。它综合考虑了老化效应和工艺波动效应,与单元库提取工具EsseChar 的老化库建模模块、以及单元库正确性检查工具EsseSanity 的多工艺角性能分析优化功能协同工作,精确地分析和优化芯片关键路径的时序余量,从而确保芯片设计的功能正确性和稳定性,满足高可靠性场景下芯片时序分析的新需求,。

形式验证平台—芯天成连接性检查工具EsseCC,产品以RTL电路和连接规范作为输入,快速检查设计是否符合连接规范,可以为SOC/IO连接性检查、综合后Netlist连接性检查、Chiplet技术下模块连接性检查、以及对全局时钟及复位信号、总线寄存器、集成IP连接性检查等提供解决方案,为用户提供快速的错误检测以及预期设计行为的信号到信号的验证需求。

光学邻近矫正平台—芯天成基于模型的版图修正工具EsseMBOPC,在面对工艺窗口缩小的挑战时,EsseMBOPC能通过导入工艺窗口模型(Process Window Model),生成符合制造规则的掩模图形;提升光刻成像质量,使光刻图像更加接近目标图形;并同时提升光刻工艺窗口,满足半导体制造的良率要求。EsseMBOPC拥有精确地版图线段化模块以及严格的内置规则检查引擎(MRC),执行严格的版图修正,同时还结合了AI及GPU加速技术,显著提高运算速度,帮助用户更快地获得修正后图形,有效帮助客户进行基于模型的高效光学临近效应修正、定制化局部热点区域修正、全部技术节点的刻蚀效应补偿。

光学邻近矫正平台—芯天成基于模型的验证工具EsseVerify,产品可导入模型并生成光刻仿真图像,内置的检测器可快速高效捕获各类型热点(Hot Spot),帮助工程师快速实现掩膜图形制造规则检查、光刻图像成像质量检查,并有效预测光刻后的工艺窗口(Process Window),以验证OPC结果是否符合半导体工艺制造和良率要求。

概伦电子(PRIMARIUS)

 

标准单元库特征化解决方案NanoCell:是一款快速、精确且易于操作的标准单元库特征化EDA工具,采用逻辑分析算法来自动分析、提取单元的ARC和功能,并通过先进的分布式并行架构技术和强大的内置NanoSpiceTM仿真器,精确、高效地对平面工艺和FinFET工艺单元电路进行特征仿真与提取,包括时序、功耗、噪声以及统计模型等。NanoCellTM还提供友好、易使用的接口,可帮助用户缩短产品开发周期。

电路类型驱动SPICE仿真器NanoSpice X:是一款先进的电路类型驱动SPICE仿真器,凭借卓越的并行仿真技术、自适应SPICE引擎、高效矩阵求解技术、后仿电路拓扑优化和RC约简技术,在保持SPICE级精度的同时仿真速度比上一代NanoSpiceTM仿真器提升了2到10倍,支持多达1亿多个电路元器件的大规模仿真,能够应对复杂模块级电路仿真和全芯片设计仿真,以及电源地网络中的超大规模后仿电路验证,为电路设计提供全面、高效且精准的仿真解决方案。


高速高精度FastSPICE仿真器NanoSpice Pro X:是一款先进的高速、高精度FastSPICE仿真器,无缝集成先进FastSPICE引擎和高精度NanoSpice XTM模拟引擎,保障高模拟精度和数字性能,全面应用于大规模存储电路、CPU、定制数字、SoC和全芯片等复杂设计验证。凭借其突破性FastSPICE算法、智能拓扑电路识别、事件驱动架构、后仿电路拓扑优化、RC约简能力和3D-IC、多工艺仿真技术,NanoSpice Pro XTM可实现更大容量、更高性能仿真验证,并通过先进软件架构和数据结构优化仿真结果输出和电路检查效率,为存储器和SoC设计提供一站式解决方案。

数字逻辑电路仿真器VeriSim:是一款创新型数字逻辑仿真器,通过搭载高性能仿真引擎和约束求解器,大幅提高编译效率,确保设计正确性和稳定性,特别适用于大型SoC设计。VeriSim支持Verilog、VHDL、SystemVerilog、SystemC等多种硬件描述语言及其组合,与通用验证方法(UVM)紧密集成,提供快速验证测试台,并支持功能和代码覆盖率测试,同时通过整合NanoSpice系列电路仿真器提供混合信号验证解决方案,全面覆盖行为级、RTL到带SDF后仿的门级电路类型。

功率器件电迁移与可靠性分析解决方案PTM:可提供功率器件电迁移与可靠性分析解决方案,全面适用于横向、纵向DMOS和IGBT等功率器件类型,支持其3D电阻提取,Rdson高精度提取和优化,电流密度违规检测和电压降提取,同时支持版图优化和pad布局,通孔缺失和金属电流拥挤检测,器件开关行为验证和优化,以此确保器件可靠性和使用寿命技术规格。

全芯片ESD验证解决方案ESDi:可提供完整的全芯片ESD验证解决方案,支持在提取版图上模拟pad到pad人体模型(HBM)和机器模型(MM)测试,检查IO单元和芯片Core中受保护的设备是否存在超电压,并标记出过大的总线电阻、电流密度和电迁移问题。通过采用非线性模拟技术,结合ESD设备的TLP模型(包括回弹模型),ESDiTM在确保模拟速度的同时保持超高模拟精度。

广立微(Semitronix)

 

2023年4月28日,广立微重磅发布了通用数据分析软件(DATAEXP-General)、成品率管理系统(DATAEXP-YMS,Yield Management System)、缺陷数据管理与分析系统(DATAEXP-DMS,Defect Management System)、以及智能设备监控系统DATA-FDC(Fault Detection Classification)等多款产品,实现了公司大数据平台DATAEXP的全线升级。

通用数据分析软件(DATAEXP -General)的UI界面交互进行了全新设计, 结合运行速度的提升, 为用户提供了更加优越的使用体验。在优化功能的同时, 新版DATAEXP-General 新增了多种数据可视化方法以及统计分析模块, 为用户提供了更加强大并且灵活的数据分析平台。与此同时, DATAEXP-General重磅发布了BS架构的云端版本, 在客户端版本的基础上, 额外提供了数字分析资产集中管理。

成品率管理系统(DATAEXP-YMS)是为Fab工厂量身打造,具有芯片全生命周期的数据管理、分析和追溯的功能,支持集成电路生产制造过程中的CP、FT、WAT、INLINE、DEFECT、封装测试等多类型数据的智能化分析。同时为了实现设计和Fab的无缝衔接,专门为芯片设计公司(Design House)推出“YMS-Lite一体机”,既保留了芯片设计公司常用的分析模块和专业的可视化绘图功能,也支持国产ARM服务器底座,为用户提供一站式部署、高性价比、又简单维护的新解决方案。依托广立微在半导体制造领域的深厚积累,DATAEXP-YMS和YMS-Lite具备强大的算法支撑和数据处理能力,用户可“一键式”轻松排查成品率的影响因素,并快速完成底层数据清洗、连接、整合工作,实现产线数据的高效分析,可显著加快客户提升良率、完成工艺开发的进度。

缺陷数据管理与分析系统(DATAEXP-DMS)通过MPP数据库和微服务技术,分别在数据层和应用层提供高稳定性、高可用性和高扩展性。依靠分布式系统的强大计算能力,结合简洁易用的界面,用户可以轻松高效地检索、查验、分类缺陷数据,在跨module分析方面,提供了全新的用户体验,可快速、全面、系统地查找缺陷来源,并预测良率杀伤率(Kill Ratio & Yield Impact)。DMS采用了自主研发的缺陷自动分类系统 (ADC,Auto Defect Classification),ADC基于前沿的人工智能视觉技术,具备defect高识别精度和快速部署能力,其分类的平均准确度和平均召回率均99.5%以上,关键缺陷漏检率和误检率均小于0.3%,节约人工检测成本高达95%,提高问题定位效率25倍以上。

智能设备监控系统(DATA-FDC)在工厂中收集各种设备传感器、事故报告(Event Report)和机台设备报警(Alarm)数据,具备高可用、高并发、可扩展的特性并保障实时数据流稳定的分析计算。并且融合人工智能打造了专业的数据管理平台,支持高级图表分析和自动报告、设备对标(Tool Matching),帮助用户实现设备问题的根因排查、追溯、预防和预测。

2023年7月2日,广立微正式推出了新一代通用型高性能半导体参数测试系统T4000。该系列产品是对公司现有并行perpin测试设备T4100S的重要补充,能够覆盖不同用户的使用需求。T4000的上市进一步拓展了公司的产品线,标志着广立微在晶圆级电性测试设备领域取得了又一重大突破。T4000通用型高性能半导体参数测试系统可覆盖LOGIC,CIS, DRAM, SRAM, FLASH, BCD等所有产品的测试需求,支持第三代化合物半导体(SiC/GaN)的参数测试。该系统拥有丰富的WAT、WLR测试算法库,适配各类主流WAT探针卡,支持国际上各主要型号的探针台,能够高效完成所有常规WAT参数、常规WLR 参数以及addressable等先进测试芯片的测试,可广泛应用于WAT, WLR及SPICE等领域。相比市场上同类设备,T4000系列测试每片晶圆所需的时间大幅度缩短,提升幅度达20%至200%,具有很高的性价比,更适合对成本较为敏感的8英寸及以下产线。因其功能全面、稳定性高、兼容性好,不仅可以用于新的产线,还可用于替代原有晶圆厂老旧的测试设备。

 

2023年8月25日,广立微重磅发布CMP建模工具CMP EXPLORER,正式进军DFM领域。CMP EXPLORER可依据CMP工艺后的各测试结构膜厚和表面形貌数据以及CMP工艺参数,建立CMP模型,通过针对CMP步骤精准仿真和建模,可以提前找出和预防CMP相关的芯片设计问题。CMP EXPLORER工具实现了业界广泛使用的Cu CMP仿真与热点检查流程的所有功能,软件的成熟度也已达到商用水平。

芯华章(XEPIC)

 

2023年7月,芯华章推出新一代高速仿真器GalaxSimTurbo,基于超大规模分布式仿真技术,GalaxSimTurbo通过打造多种仿真引擎,实现多核、多服务器并行算力,在大幅提高运行速度的同时,可以有力支持千亿门级的超大规模芯片敏捷验证与开发。这一技术的应用,对于打破传统逻辑仿真器的验证容量限制,在芯片设计早期引入系统级验证,实现芯片设计和验证的持续集成及设计具有至关重要的作用,填补了传统逻辑仿真器和硬件仿真器(emulator)之间的空白。

合见工软(UNIVISTA)

 

2023年合见工软发布了多款产品,除前文提到的UVHS外,还有:

虚拟原型设计与仿真工具套件UniVista V-Builder/vSpace可以帮助用户在芯片与整机系统设计过程中更早的开始进行软件开发、架构探索与软件功能调试,实现软硬件协同设计与验证,提高开发效率,缩短产品上市时间。

高效测试向量自动生成工具UniVista Tespert ATPG帮助工程师在进行大规模SoC集成电路设计中实现可测性设计(DFT),以降低测试成本,提升芯片质量和良率,缩短芯片设计周期,助力集成电路测试快速签核,应对复杂集成电路架构带来的挑战。

电子系统研发管理平台UniVista EDMPro套件是合见工软更广泛的系统级EDA产品的重要部分,套件包含的资源库管理系统RMS、电子设计过程管理与质量评审系统ERS、电子设计自动化检查工具ERC、以及PDM/PLM系统集成方案PDMCon,组成了完整的一站式电子设计数据管理平台及应用解决方案,该工具套件现已实现在消费电子、通讯、计算机、航天航空等领域的国内头部企业中的成功部署应用。

(按公司英文名称首写字母排序)

英诺达(EnnoCAD)

EnFortius®凝锋®门级功耗分析工具(GPA):可以快速精确地完成门级功耗的评估和分析,其精度最高可达签收工具的5%以内;

静态验证EDA工具EnAltius®昂屹® DFT Checker:可以在设计的早期阶段发现与DFT相关的问题或设计缺陷,提高设计代码的质量,同时更多的静态验证工具也正在开发中;

EnFortius®凝锋®RTL级功耗分析工具(RPA):用于在IC设计早期对电路功耗进行评估,设计团队可以尽早地掌握功耗的趋势和数据,以尽早对电路设计进行优化。

上海立芯(Ledatech)

 

2023年,上海立芯依托完全自主研发的技术成果进一步丰富数字电路后端工具。基于高度融合的 RTL-to-GDSIl 理念,核心产品“数字电路设计全流程工具LeCompiler”着重于逻辑综合、布局布线等多步骤的协同优化,目前已实现数字后端设计全流程,在客户的多款设计中得到验证并商用。

本源量子(Origin Quantum)

自2022年发布以来,本源坤元Q-EDA软件从支持基础绘制需求出发,到满足用户多人协作,提供原理图等用户一站式功能,已经过四次迭代。最新版本Origin Unit2.4实现了量子芯片原理图、版图设计的基础能力,具备核心比特阵列单元化拼组、辅助结构自动化生成的专业能力;支持完成138比特大版图绘制,支持PDK规范设计和效果检查、支持3D视图效果演示、支持团队多人协作;对专业的量子芯片设计需求、原理图设计交付代工一体的业务需求、以及微纳器件设计和量子芯片设计教学等应用场景提供了强大的支撑。

行芯科技(PHLEXING)

GloryEX®全芯片RC寄生参数提取工具:RC寄生参数提取是Signoff设计流程中的基础性、关键性步骤。GloryEX为芯片设计提供Signoff精度的高性能RC寄生参数提取解决方案。支持先进工艺节点的物理效应建模,面向先进工艺的超高精度3D求解器,支持先进节点及其他复杂特殊结构。首创人工智能辅助的交互式建模技术,大幅降低先进工艺建模难度,无缝融合3D和2.5D工艺定义和提取,提供Transistor-Level和Gate-Level一站式参数提取,支持不同精度选择和不同设计用户的签核需求。GloryEX内置的3D场求解器可作为最高精度的参考工具或提供给用户最准确的计算结果,具有自主先进的Tech File,并兼容现有常用Tech File。

GloryBolt®功耗/EM/IR/可靠性Signoff工具:集功耗分析、RC寄生参数提取、静态/动态电压仿真等功能于一体的EMIR分析工具,支持全芯片电源线/信号线的可靠性分析。GloryBolt强大的分析引擎支持上亿规模单元的大规模设计,准确提供芯片签核精度的功耗、电流密度、压降、电迁移、可靠性等分析结果。贴近用户使用习惯,帮助工程师快速获得签核验证所需的分析数据,结合可视化界面的诊断结果,指导用户精准定位设计缺陷,综合评估芯片质量,提高设计迭代效率。 

PhyBolt®3DIC/Chiplet功耗/热集成分析工具:提供完整的芯片级功耗与热分析解决方案,帮助用户在Signoff阶段与系统集成阶段快速评估芯片在不同电压/频率/工艺角以及运行场景下的功耗与发热情况。在热分析方面,PhyBolt内嵌了专门针对芯片热分析而设计的网格切分引擎与高性能求解器,能够精准地模拟各种封装结构中的片上热传导行为。

GloryWatt®全芯片功耗分析工具:集成了签核级的功耗分析引擎,用于准确计算Design在给定场景下的平均功耗,协助用户定位功耗热点,修复功耗bug。此外,GloryWatt提供独特的PVTF功耗建模功能,支持根据片上温度调整功耗计算,无缝融合功耗-温度仿真,进一步支持DVFS算法仿真及系统能耗计算。

思尔芯(S2C)

 

2023年7月4日,思尔芯发布了最新一代原型验证工具“芯神瞳逻辑系统S8-40”。新产品除了支持PCIe Gen5,还拥有丰富的连接选项,海量的数据传输带宽,以及完整的原型验证配套工具,为当前如AI、GPU芯片等大存储和大数据设计提供了有效的解决方案。S8-40适用于处理复杂的逻辑电路和大规模数据,可支持诸多高带宽协议,例如PCIe Gen5、600G Ethernet MAC、600G Interlaken等,可更真实地贴近客户要验证的设计。与思尔芯上一代 S7-19P逻辑系统相比,S8-40在内部存储容量和DSP引擎方面有了更大的提升,提供5.37倍的内存储和3.73倍的DSP引擎。这种提升可协助算法类的验证,例如AI的神经网络模型,车用的自动驾驶系统,和高性能计算(HPC)。

2023年11月10日,在ICCAD 2023现场,思尔芯正式发布一款自主研发的数字电路调试软件Claryt芯神觉。该工具集成了源代码追踪、波形图调试、原理图萃取和覆盖率分析等核心功能,旨在为工程师提供一个全面、高效的分析与调试平台。利用先进的调试技术帮助开发者简化整个调试过程,加速芯片开发。为工程师提供了一个全面且高效的分析与调试平台,还通过高效的源代码追踪和关键的调试功能满足了主流验证调试场景的需求。其界面设计简洁直观,响应速度快,运行稳定,为工程师提供了极为便捷的用户体验和强大的调试支持。

量旋科技(SPINQ)

2023年4月,量旋科技正式对外发布了量子芯片EDA天乙,旨在为研究人员和工程师提供高效、智能、易用的量子芯片设计和仿真工具。凭借天乙EDA高效智能的芯片设计能力,实现超导量子芯片的快速迭代和工艺优化。

天乙EDA集成了智能化的全自动布线功能,提升了设计人员的工作效率;拥有丰富的超导量子芯片元器件库,满足不同应用场景的设计要求;基于Web端的软件,用户可以随时随地通过网络访问使用,同时也方便多人协同和远程合作。

芯行纪(XTIMES-DA)

 

2023年11月10日,在ICCAD 2023现场,芯行纪正式宣布推出数字实现布局布线工具AmazeSys。作为全新一代数字芯片物理设计实现工具,AmazeSys包含宏单元布局规划、电源规划、布局、时钟树综合、布线、优化、寄生参数提取以及时序功耗分析等物理实现全功能模块,支持先进工艺制程下的超大规模设计,可服务数字芯片从Netlist到GDS的完整后端设计流程,完成从设计端到制造端的交付功能。AmazeSys将为复杂程度愈发增加的芯片设计端和工艺不断更新迭代的芯片制造端带来新的创新元素,完全自主研发的过程能确保系统各个环节都能快速匹配和满足客户的需求。凭借其强大的关键核心技术引擎和独特的基础数据架构,布局布线全流程将被赋予耳目一新的智能化表现。

芯思想 中国半导体正能量传播平台。为中国半导体产业服务,我们都是中国半导体产业腾飞的见证人。新闻分析,精彩评论,独家数据,为您定制信息,欢迎拍名片回复,和行业精英交流。
评论
  • 一个真正的质量工程师(QE)必须将一件产品设计的“意图”与系统的可制造性、可服务性以及资源在现实中实现设计和产品的能力结合起来。所以,可以说,这确实是一种工程学科。我们常开玩笑说,质量工程师是工程领域里的「侦探」、「警察」或「律师」,守护神是"墨菲”,信奉的哲学就是「墨菲定律」。(注:墨菲定律是一种启发性原则,常被表述为:任何可能出错的事情最终都会出错。)做质量工程师的,有时会不受欢迎,也会被忽视,甚至可能遭遇主动或被动的阻碍,而一旦出了问题,责任往往就落在质量工程师的头上。虽然质量工程师并不负
    优思学院 2025-01-09 11:48 156浏览
  • 1月9日,在2025国际消费电子展览会(CES)期间,广和通发布集智能语音交互及翻译、4G/5G全球漫游、随身热点、智能娱乐、充电续航等功能于一体的AI Buddy(AI陪伴)产品及解决方案,创新AI智能终端新品类。AI Buddy是一款信用卡尺寸的掌中轻薄智能设备,为用户带来实时翻译、个性化AI语音交互助手、AI影像识别、多模型账户服务、漫游资费服务、快速入网注册等高品质体验。为丰富用户视觉、听觉的智能化体验,AI Buddy通过蓝牙、Wi-Fi可配套OWS耳机、智能眼镜、智能音箱、智能手环遥
    物吾悟小通 2025-01-09 18:21 130浏览
  • HDMI 2.2 规格将至,开启视听新境界2025年1月6日,HDMI Forum, Inc. 宣布即将发布HDMI规范2.2版本。新HDMI规范为规模庞大的 HDMI 生态系统带来更多选择,为创建、分发和体验理想的终端用户效果提供更先进的解决方案。新技术为电视、电影和游戏工作室等内容制作商在当前和未来提供更高质量的选择,同时实现多种分发平台。96Gbps的更高带宽和新一代 HDMI 固定比率速率传输(Fixed Rate Link)技术为各种设备应用提供更优质的音频和视频。终端用户显示器能以最
    百佳泰测试实验室 2025-01-09 17:33 172浏览
  • 在智能网联汽车中,各种通信技术如2G/3G/4G/5G、GNSS(全球导航卫星系统)、V2X(车联网通信)等在行业内被广泛使用。这些技术让汽车能够实现紧急呼叫、在线娱乐、导航等多种功能。EMC测试就是为了确保在复杂电磁环境下,汽车的通信系统仍然可以正常工作,保护驾乘者的安全。参考《QCT-基于LTE-V2X直连通信的车载信息交互系统技术要求及试验方法-1》标准10.5电磁兼容试验方法,下面将会从整车功能层面为大家解读V2X整车电磁兼容试验的过程。测试过程揭秘1. 设备准备为了进行电磁兼容试验,技
    北汇信息 2025-01-09 11:24 135浏览
  • 光伏逆变器是一种高效的能量转换设备,它能够将光伏太阳能板(PV)产生的不稳定的直流电压转换成与市电频率同步的交流电。这种转换后的电能不仅可以回馈至商用输电网络,还能供独立电网系统使用。光伏逆变器在商业光伏储能电站和家庭独立储能系统等应用领域中得到了广泛的应用。光耦合器,以其高速信号传输、出色的共模抑制比以及单向信号传输和光电隔离的特性,在光伏逆变器中扮演着至关重要的角色。它确保了系统的安全隔离、干扰的有效隔离以及通信信号的精准传输。光耦合器的使用不仅提高了系统的稳定性和安全性,而且由于其低功耗的
    晶台光耦 2025-01-09 09:58 109浏览
  • 在当前人工智能(AI)与物联网(IoT)的快速发展趋势下,各行各业的数字转型与自动化进程正以惊人的速度持续进行。如今企业在设计与营运技术系统时所面临的挑战不仅是技术本身,更包含硬件设施、第三方软件及配件等复杂的外部因素。然而这些系统往往讲究更精密的设计与高稳定性,哪怕是任何一个小小的问题,都可能对整体业务运作造成严重影响。 POS应用环境与客户需求以本次分享的客户个案为例,该客户是一家全球领先的信息技术服务与数字解决方案提供商,遭遇到一个由他们所开发的POS机(Point of Sal
    百佳泰测试实验室 2025-01-09 17:35 155浏览
  • Snyk 是一家为开发人员提供安全平台的公司,致力于协助他们构建安全的应用程序,并为安全团队提供应对数字世界挑战的工具。以下为 Snyk 如何通过 CircleCI 实现其“交付”使命的案例分析。一、Snyk 的挑战随着客户对安全工具需求的不断增长,Snyk 的开发团队面临多重挑战:加速交付的需求:Snyk 的核心目标是为开发者提供更快、更可靠的安全解决方案,但他们的现有 CI/CD 工具(TravisCI)运行缓慢,无法满足快速开发和部署的要求。扩展能力不足:随着团队规模和代码库的不断扩大,S
    艾体宝IT 2025-01-10 15:52 114浏览
  • 车机导航有看没有懂?智能汽车语系在地化不可轻忽!随着智能汽车市场全球化的蓬勃发展,近年来不同国家地区的「Automotive Localization」(汽车在地化)布局成为兵家必争之地,同时也是车厂在各国当地市场非常关键的营销利器。汽车在地化过程中举足轻重的「汽车语系在地化」,则是透过智能汽车产品文字与服务内容的设计订制,以对应不同国家地区用户的使用习惯偏好,除了让当地车主更能清楚理解车辆功能,也能进一步提高品牌满意度。客户问题与难处某车厂客户预计在台湾市场推出新一代车款,却由于车机导航开发人
    百佳泰测试实验室 2025-01-09 17:47 92浏览
  • 根据环洋市场咨询(Global Info Research)项目团队最新调研,预计2030年全球中空长航时无人机产值达到9009百万美元,2024-2030年期间年复合增长率CAGR为8.0%。 环洋市场咨询机构出版了的【全球中空长航时无人机行业总体规模、主要厂商及IPO上市调研报告,2025-2031】研究全球中空长航时无人机总体规模,包括产量、产值、消费量、主要生产地区、主要生产商及市场份额,同时分析中空长航时无人机市场主要驱动因素、阻碍因素、市场机遇、挑战、新产品发布等。报告从中空长航时
    GIRtina 2025-01-09 10:35 142浏览
  • 在过去十年中,自动驾驶和高级驾驶辅助系统(AD/ADAS)软件与硬件的快速发展对多传感器数据采集的设计需求提出了更高的要求。然而,目前仍缺乏能够高质量集成多传感器数据采集的解决方案。康谋ADTF正是应运而生,它提供了一个广受认可和广泛引用的软件框架,包含模块化的标准化应用程序和工具,旨在为ADAS功能的开发提供一站式体验。一、ADTF的关键之处!无论是奥迪、大众、宝马还是梅赛德斯-奔驰:他们都依赖我们不断发展的ADTF来开发智能驾驶辅助解决方案,直至实现自动驾驶的目标。从新功能的最初构思到批量生
    康谋 2025-01-09 10:04 132浏览
  • 职场是人生的重要战场,既是谋生之地,也是实现个人价值的平台。然而,有些思维方式却会悄无声息地拖住你的后腿,让你原地踏步甚至退步。今天,我们就来聊聊职场中最忌讳的五种思维方式,看看自己有没有中招。1. 固步自封的思维在职场中,最可怕的事情莫过于自满于现状,拒绝学习和改变。世界在不断变化,行业的趋势、技术的革新都在要求我们与时俱进。如果你总觉得自己的方法最优,或者害怕尝试新事物,那就很容易被淘汰。与其等待机会找上门,不如主动出击,保持学习和探索的心态。加入优思学院,可以帮助你快速提升自己,与行业前沿
    优思学院 2025-01-09 15:48 135浏览
我要评论
0
点击右上角,分享到朋友圈 我知道啦
请使用浏览器分享功能 我知道啦